4.5 Review

Heterogeneous Flight Management System (FMS) Design for Unmanned Aerial Vehicles (UAVs): Current Stages, Challenges, and Opportunities

Related references

Note: Only part of the references are listed.
Review Engineering, Aerospace

Rotating machinery fault detection and diagnosis based on deep domain adaptation: A survey

Siyu Zhang et al.

Summary: In practical mechanical fault detection and diagnosis, transfer learning combined with deep learning can improve the performance of the target task while reducing the demand for large-scale supervised data and high computation power. However, direct transfer may lead to a significant reduction in detection performance due to domain differences. Domain adaptation strategies can address this issue by transferring distribution information from the source domain to the target domain. This survey reviews various current domain adaptation strategies combined with deep learning and analyzes their principles, advantages, and disadvantages, as well as their application in fault diagnosis.

CHINESE JOURNAL OF AERONAUTICS (2023)

Review Computer Science, Information Systems

Federated Learning for Condition Monitoring of Industrial Processes: A Review on Fault Diagnosis Methods, Challenges, and Prospects

Tarek Berghout et al.

Summary: Condition monitoring of industrial processes is crucial for minimizing downtime and increasing productivity through accurate maintenance planning. Advanced intelligent learning systems enable effective fault diagnosis and identification. Smart industrial infrastructure technology allows for fully decentralized distributed computing for fault diagnosis tasks.

ELECTRONICS (2023)

Article Computer Science, Information Systems

A Survey on RISC-V-Based Machine Learning Ecosystem

Stavros Kalapothas et al.

Summary: In recent years, advancements in specialized hardware architectures have supported the increased computational power needed for artificial intelligence algorithms and applications such as natural language processing and computer vision. Open-source hardware and hardware-based accelerators, especially the RISC-V open standard architecture, have played a significant role in the machine learning community. This paper presents a survey that assesses the ecosystem of RISC-V based hardware and provides a comprehensive evaluation of system-on-chip and CPU cores along with the latest released frameworks for open hardware integration in machine learning applications. Furthermore, it discusses the challenges of power efficiency and reliability when utilizing open-source hardware in the AI/ML domain.

INFORMATION (2023)

Article Chemistry, Analytical

An Adaptable and Unsupervised TinyML Anomaly Detection System for Extreme Industrial Environments

Mattia Antonini et al.

Summary: Industrial assets use multiple sensing devices to monitor physical parameters and detect potential failures through anomaly detection with machine learning tools. This paper proposes an adaptable anomaly detection system using IoT, edge computing, and Tiny-MLOps in extreme industrial environments like submersible pumps. The system runs on an IoT sensing Kit near the data source, and the anomaly detection model uses the isolation forest algorithm for fast training and detection. It also employs blockchain technology for transparent anomaly storage.

SENSORS (2023)

Article Chemistry, Analytical

Real-Time Safe Landing Zone Identification Based on Airborne LiDAR

Ali Massoud et al.

Summary: In the past two decades, there has been an increasing demand for real-time generation of digital surface models (DSMs), especially for aircraft landing in degraded visual environments. However, existing filtering algorithms for airborne laser scanning (ALS) data are computationally expensive and unsuitable for real-time applications. This research aims to design and implement an efficient algorithm that can be used in real-time on limited-resource embedded processors without the need for a supercomputer. The proposed algorithm effectively identifies the safest landing zone for aircraft/helicopter based on 3D LiDAR point cloud data.

SENSORS (2023)

Review Computer Science, Artificial Intelligence

A real-time visual compass from two planes for indoor unmanned aerial vehicles (UAVs)

Gelin Wang et al.

Summary: This paper presents a novel real-time visual compass to estimate the three Degree-of-Freedom (DoF) relative orientations of an RGB-D camera in a GPS-denied indoor environment. By integrating the surface-normals-based RANdom Sample Consensus Model (RANSAC), it solves the challenge of high computational resources required in featureless indoor environments for pose decisiveness. The effectiveness of the proposed estimator is demonstrated through comprehensive evaluation based on different indoor man-made scenes. The average absolute rotation error is under 2 degrees and the average time needed by the algorithm to process an image frame is under 20 ms, indicating its robust achievement in both accuracy and timing. This can greatly benefit indoor UAV design.

EXPERT SYSTEMS WITH APPLICATIONS (2023)

Article Energy & Fuels

Heat Pipe Bending Effect on Cooling Effectiveness in Electrical Machines

Han Zhao et al.

Summary: This article investigates the effect of bending on the thermal properties of heat pipes used in motor cooling, provides practical guidelines for bending solutions under different space constraints, and quantitatively evaluates the impact of bending on motor cooling effectiveness through a thermal model.

IEEE TRANSACTIONS ON ENERGY CONVERSION (2023)

Article Automation & Control Systems

Single-Phase Short-Circuit Fault Tolerant Control for Five-Phase Permanent Magnet Machines With Copper Loss Reduction

Huanran Wang et al.

Summary: This article presents a single-phase short-circuit fault tolerant control method using original transformation matrix for five-phase permanent-magnet machines. The proposed method utilizes fundamental phase current injection (FCI) and third-order harmonic phase current injection (THCI) methods to reduce copper loss. The computational method of derating factor is also adopted for industrial applications. Experimental results verify the fault tolerance and dynamic performance, achieving a 15% reduction in copper loss compared to general and FCI methods.

IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS (2023)

Article Engineering, Electrical & Electronic

UAV-Enabled Covert Federated Learning

Xiangwang Hou et al.

Summary: Integrating unmanned aerial vehicles (UAVs) with federated learning (FL) is a promising approach for handling massive data generated by intelligent devices. This paper proposes a UAV-enabled covert federated learning architecture that emits artificial noise to enhance data security. The effectiveness of the proposed scheme is validated through experiments.

IEEE TRANSACTIONS ON WIRELESS COMMUNICATIONS (2023)

Review Computer Science, Theory & Methods

Communication-efficient distributed AI strategies for the IoT edge

Christine Mwase et al.

Summary: This paper discusses the impact of artificial intelligence (AI) in various industries and highlights its crucial role in emerging applications. It emphasizes the need to achieve good performance in resource-constrained edge environments to meet the requirements of latency, security, and privacy. The paper presents an edge-based AI architecture and strategies to address communication inefficiencies, showcasing performance improvements from state-of-the-art research and identifying future directions.

FUTURE GENERATION COMPUTER SYSTEMS-THE INTERNATIONAL JOURNAL OF ESCIENCE (2022)

Article Computer Science, Information Systems

Resource allocation in wireless networks with federated learning: Network adaptability and learning acceleration

Hyun-Suk Lee et al.

Summary: This paper proposes a federated learning framework for resource allocation in wireless networks, which accelerates the learning speed by aggregating policies from multiple systems and ensures network adaptability through the use of a central policy.

ICT EXPRESS (2022)

Article Chemistry, Multidisciplinary

Nanotechnology in the Olympic Winter Games and Beyond

Chaowei He et al.

Summary: Modern Olympics have increasingly utilized nanotechnologies to support athletes and enhance sports performance. Nanoscience is applied in ice skating, wearable monitoring devices, skiing sports equipment, winter protection, and Olympic printing, playing a significant role in the Olympic and Paralympic Winter Games.

ACS NANO (2022)

Article Computer Science, Hardware & Architecture

Exploring HW/SW Co-Design for Video Analysis on CPU-FPGA Heterogeneous Systems

Xiaofan Zhang et al.

Summary: Deep neural network-based video analysis is an important and challenging task. To accelerate video analysis, researchers have proposed a novel framework that utilizes Co-Optimization technology to achieve higher throughput performance on CPU-FPGA heterogeneous systems.

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS (2022)

Article Automation & Control Systems

Heterogeneous Control Platform Design for Power Conversion Systems

Andrea Rueetschi et al.

Summary: This article introduces a codesign workflow and a runtime architecture for digitally controlled switch-mode power conversion systems. By using a combination of multicore application processors and FPGAs in a general-purpose SoC, along with high-level synthesis, multirate control algorithms can be deployed and executed in real-time.

IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS (2022)

Article Engineering, Electrical & Electronic

A Federated Learning Framework for Detecting False Data Injection Attacks in Solar Farms

Liang Zhao et al.

Summary: This letter proposes a novel decentralized machine learning framework for detecting false data injection attacks on solar PV systems. The framework incorporates federated learning technology, enabling collaborative training across devices without sharing raw data. Experimental results demonstrate the efficiency of the proposed approach in detecting attacks in PV systems while adhering to data privacy regulations.

IEEE TRANSACTIONS ON POWER ELECTRONICS (2022)

Article Automation & Control Systems

Visual-based Landing Guidance System of UAV with Deep Learning Technique for Environments of Visual-detection Impairment

Minjae Lee et al.

Summary: A novel landing concept with deep learning technique is proposed in this study, which successfully guided multicopter landing in vision-impaired environments.

INTERNATIONAL JOURNAL OF CONTROL AUTOMATION AND SYSTEMS (2022)

Review Telecommunications

A Review on Energy-Aware Scheduling Techniques for Workflows in IaaS Clouds

Rambabu Medara et al.

Summary: Cloud computing is widely used in enterprises of all scales, particularly for applications with unpredictable workloads. However, excessive power utilization in cloud data centers, especially for scientific workflow applications, is a serious issue. This study surveys existing energy-efficient techniques for scheduling various workflows in a cloud environment and provides extensive knowledge about energy-aware scheduling.

WIRELESS PERSONAL COMMUNICATIONS (2022)

Article Chemistry, Multidisciplinary

Train Me If You Can: Decentralized Learning on the Deep Edge

Diogo Costa et al.

Summary: The end of Moore's Law and concerns about data privacy are driving machine learning to shift from the cloud to the deep edge, a paradigm known as federated learning (FL). This article explores the feasibility of training artificial neural networks (ANNs) on Arm Cortex-M microcontroller units (MCUs). The authors propose L-SGD, a lightweight implementation of stochastic gradient descent (SGD) optimized for speed and minimal memory usage. Experimental results show a significant performance improvement with L-SGD compared to traditional SGD, making it suitable for specific application scenarios.

APPLIED SCIENCES-BASEL (2022)

Article Computer Science, Hardware & Architecture

ML-HW Co-Design of Noise-Robust TinyML Models and Always-On Analog Compute-in-Memory Edge Accelerator

Chuteng Zhou et al.

Summary: This article discusses the importance of high energy efficiency in always-on TinyML perception tasks in IoT applications and proposes the use of analog compute-in-memory (CiM) with nonvolatile memory (NVM) to achieve this goal. The authors introduce AnalogNets model architectures and a comprehensive training methodology to maintain accuracy in the presence of analog nonidealities and low-precision data converters. They also present AON-CiM, a programmable phase-change memory (PCM) analog CiM accelerator, designed to reduce the complexity and cost of interconnects. Evaluation results show promising accuracy and efficiency for KWS and VWW tasks.

IEEE MICRO (2022)

Article Computer Science, Hardware & Architecture

Optimizing Random Forest-Based Inference on RISC-V MCUs at the Extreme Edge

Enrico Tabanelli et al.

Summary: This article discusses the optimization of computational and storage costs for running decision trees (DTs) on microcontroller units (MCUs) typically deployed in TinyML scenarios, introducing three alternative DT kernels optimized for memory- and compute-limited MCUs. Experimental results show significant improvements in latency, speedup, and storage reduction compared to widely-adopted naive DT designs.

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS (2022)

Review Computer Science, Software Engineering

High-quality indoor scene 3D reconstruction with RGB-D cameras: A brief review

Jianwei Li et al.

Summary: This paper reviews the methods of high-quality 3D indoor scene reconstruction using consumer RGB-D cameras and compares and analyzes them in terms of depth processing, camera pose estimation, and surface reconstruction.

COMPUTATIONAL VISUAL MEDIA (2022)

Article Engineering, Electrical & Electronic

Tiny Machine Learning (Tiny-ML) for Efficient Channel Estimation and Signal Detection

Hongfu Liu et al.

Summary: This article introduces a tiny machine learning approach for hardware-efficient channel estimation and signal detection. By replacing large dense layers with smaller cascading sub-layers, the computation and storage are significantly reduced. A novel rank-restricted back-propagation algorithm is also proposed for lightweight training.

IEEE TRANSACTIONS ON VEHICULAR TECHNOLOGY (2022)

Article Engineering, Marine

Dual-channel LIDAR searching, positioning, tracking and landing system for rotorcraft from ships at sea

Tao Zeng et al.

Summary: This paper proposes a dual-channel LIDAR searching, positioning, tracking and landing system that addresses the limitations of existing methods for rotorcraft operations on ships at sea. The system utilizes a multi-pulse laser echoes accumulation method and the high laser reflectivity of the ship deck in the near-infrared band. The system includes models for searching and positioning, tracking, and landing, and the simulation and testing results demonstrate its effectiveness.

JOURNAL OF NAVIGATION (2022)

Review Computer Science, Artificial Intelligence

A survey of state-of-the-art on visual SLAM

Iman Abaspur Kazerouni et al.

Summary: This paper provides an overview of Visual Simultaneous Localization and Mapping (V-SLAM), covering basic concepts, state-of-the-art methods in vision and SLAM, and the use of Deep Learning techniques and datasets for Visual Odometry and Loop Closure in V-SLAM applications.

EXPERT SYSTEMS WITH APPLICATIONS (2022)

Review Chemistry, Analytical

A Survey on UAV Computing Platforms: A Hardware Reliability Perspective

Foisal Ahmed et al.

Summary: This study focuses on the hardware reliability issues of UAV computing platforms which have been overlooked in previous research, aiming to provide solutions and future research directions in this area.

SENSORS (2022)

Article Green & Sustainable Science & Technology

Path Planning of Electric VTOL UAV Considering Minimum Energy Consumption in Urban Areas

Yafei Li et al.

Summary: This study proposes a method for planning an obstacle-free path for eVTOL UAVs in urban areas, with the aim of minimizing energy consumption. The method incorporates Voronoi diagrams based on building locations and Dubins geometric path algorithm to obtain the complete shortest and obstacle-free path. Simulation results demonstrate that the proposed method generates shorter paths with reduced energy consumption, improving the safety and sustainability of eVTOL UAV operations.

SUSTAINABILITY (2022)

Article Computer Science, Information Systems

Data Heterogeneity-Robust Federated Learning via Group Client Selection in Industrial IoT

Zonghang Li et al.

Summary: The Industrial Internet of Things plays a crucial role in industrial intelligence, presenting new challenges for industrial data protection. This article introduces FEDGS, a hierarchical cloud-edge-end FL framework based on 5G, which utilizes clustered devices and synchronization protocols to enhance industrial FL performance on non-i.i.d. data.

IEEE INTERNET OF THINGS JOURNAL (2022)

Review Energy & Fuels

A Comprehensive Review of Conventional and Intelligence-Based Approaches for the Fault Diagnosis and Condition Monitoring of Induction Motors

Rahul R. Kumar et al.

Summary: This review paper provides a brief overview of conventional approaches and examines intelligent means for fault diagnosis and condition monitoring of electrical drives, with a focus on those common in Industry 4.0. The paper discusses various diagnostic techniques and their advancements, highlighting the effectiveness of model, signal, and data-based techniques for fault diagnosis and condition monitoring of induction motors. Additionally, neural and non-neural-based approaches for major faults in rotating machines are explored.

ENERGIES (2022)

Proceedings Paper Energy & Fuels

Optimizing a Digital Twin for Fault Diagnosis in Grid Connected Inverters - A Bayesian Approach

Pavol Mulinka et al.

Summary: This study conducts hyperparameter tuning based Bayesian optimization of digital twins to diagnose faults in grid connected inverters, demonstrating improved accuracy and flexibility in digital twin design.

2022 IEEE ENERGY CONVERSION CONGRESS AND EXPOSITION (ECCE) (2022)

Proceedings Paper Engineering, Electrical & Electronic

Parallelizing Optical Flow Estimation on an Ultra-Low Power RISC-V Cluster for Nano-UAV Navigation

Jonas Kuhne et al.

Summary: This paper introduces an efficient parallelization strategy for optical flow computation targeting new-generation multicore low power RISC-V based microcontroller units. The proposed method allows for higher frame rates at lower clock speeds and has been implemented and evaluated on a commercial octa-core MCU.

2022 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS 22) (2022)

Proceedings Paper Engineering, Electrical & Electronic

Development of a Power Electronics Controller with RISC-V based Core for Security-Critical Applications

S. U. Swakath et al.

Summary: This paper presents an approach to mitigate the security challenges of power hardware through an open-source implementation of a microcontroller core based on the RISC-V instruction set architecture. The proposed architecture utilizes the Shakti E-Class microcontroller core and a custom PWM controller, and has been validated on a Xilinx Artix FPGA. For critical applications, such designs can be replicated as custom ASICs to ensure total security of the computing hardware.

2022 IEEE 63TH INTERNATIONAL SCIENTIFIC CONFERENCE ON POWER AND ELECTRICAL ENGINEERING OF RIGA TECHNICAL UNIVERSITY (RTUCON) (2022)

Proceedings Paper Computer Science, Hardware & Architecture

E2EdgeAI: Energy-Efficient Edge Computing for Deployment of Vision-Based DNNs on Autonomous Tiny Drones

Mozhgan Navardi et al.

Summary: Artificial Intelligence (AI) and Deep Neural Networks (DNNs) have gained attention for their applications in the field of autonomous systems. The study introduces a method called E2EdgeAI for Energy-Efficient Edge computing that optimizes the energy efficiency of tiny drones.

2022 IEEE/ACM 7TH SYMPOSIUM ON EDGE COMPUTING (SEC 2022) (2022)

Article Engineering, Aerospace

An Integrated UWB-IMU-Vision Framework for Autonomous Approaching and Landing of UAVs

Xin Dong et al.

Summary: This paper proposes a UAV autonomous landing scheme based on multi-sensor fusion, which integrates Ultra Wide-Band (UWB) sensor, Inertial Measurement Unit (IMU), and vision feedback to guide the UAV to approach and land on a moving object. Simulation and real-world experiments validate the feasibility of the proposed scheme, showing successful landing with adequate accuracy in most common scenarios.

AEROSPACE (2022)

Review Engineering, Aerospace

Vision-Based Autonomous Landing for the UAV: A Review

Long Xin et al.

Summary: This paper summarizes the research results in the field of vision-based autonomous landing for UAVs, categorizing them into static, dynamic, and complex scenarios. The key technologies are summarized, compared, and future development trends are pointed out.

AEROSPACE (2022)

Proceedings Paper Computer Science, Artificial Intelligence

Tiny Robot Learning: Challenges and Directions for Machine Learning in Resource-Constrained Robots

Sabrina M. Neuman et al.

Summary: Machine learning has become widely used in computing systems, and tiny robot learning is an emerging application that deploys machine learning on resource-constrained low-cost autonomous robots. This field faces various challenges, including size, weight, power constraints, hardware limitations, and a large number of potential deployment scenarios.

2022 IEEE INTERNATIONAL CONFERENCE ON ARTIFICIAL INTELLIGENCE CIRCUITS AND SYSTEMS (AICAS 2022): INTELLIGENT TECHNOLOGY IN THE POST-PANDEMIC ERA (2022)

Proceedings Paper Computer Science, Artificial Intelligence

Robotic Computing on FPGAs: Current Progress, Research Challenges, and Opportunities

Zishen Wan et al.

Summary: This paper highlights the current progress, design techniques, challenges, and open research challenges in the domain of robotic computing on FPGAs. FPGA, as a promising computing substrate, can provide low power, high performance, reconfigurability, reliability, and adaptivity characteristics, serving as a support for robotic applications.

2022 IEEE INTERNATIONAL CONFERENCE ON ARTIFICIAL INTELLIGENCE CIRCUITS AND SYSTEMS (AICAS 2022): INTELLIGENT TECHNOLOGY IN THE POST-PANDEMIC ERA (2022)

Article Computer Science, Information Systems

PipeFL: Hardware/Software co-Design of an FPGA Accelerator for Federated Learning

Zixiao Wang et al.

Summary: This paper presents a hardware/software co-designed FPGA accelerator for federated learning, which speeds up encryption algorithms and improves training efficiency. The proposed architecture optimizes both hardware and software parts, achieving significant improvement in specific algorithms and practical applications.

IEEE ACCESS (2022)

Proceedings Paper Computer Science, Artificial Intelligence

A review of CNN accelerators for embedded systems based on RISC-V

Alejandra Sanchez-Flores et al.

Summary: One of the major challenges in computing today is sustainable energy consumption, especially in the context of edge computing. Managing energy consumption in embedded devices with limited resources is crucial. Open-hardware designs, such as RISC-V, have been instrumental in achieving energy efficiency by optimizing different stages of the system.

2022 IEEE INTERNATIONAL CONFERENCE ON OMNI-LAYER INTELLIGENT SYSTEMS (IEEE COINS 2022) (2022)

Proceedings Paper Engineering, Aerospace

MPSoC4Drones: An Open Framework for ROS2, PX4, and FPGA Integration

Frederik Falk Nyboe et al.

Summary: This study introduces a new framework called MPSoC4Drones for FPGA design and OS build projects. The framework combines the latest tools and provides an efficient way for construction, and the advantages of FPGA processing in computationally intensive tasks are validated.

2022 INTERNATIONAL CONFERENCE ON UNMANNED AIRCRAFT SYSTEMS (ICUAS) (2022)

Article Computer Science, Information Systems

AI-Enabled UAV Communications: Challenges and Future Directions

Amira O. Hashesh et al.

Summary: This article provides a summary of AI-aided UAV solutions and presents a comprehensive overview of machine learning approaches, showcasing their significant contributions to UAV network implementations. The article also highlights future research directions and challenges in UAV communication.

IEEE ACCESS (2022)

Proceedings Paper Computer Science, Information Systems

TinyFedTL: Federated Transfer Learning on Ubiquitous Tiny IoT Devices

Kavya Kopparapu et al.

Summary: TinyML is an effective method that combines machine learning, mathematical optimization, and tiny IoT embedded systems to analyze real-world data without the overhead of traditional ML hardware. However, the lack of ML solutions that operate under constrained computing environments and privacy concerns is a challenge. To address this, TinyFedTL presents an open-sourced implementation of federated learning on resource-constrained IoT devices, demonstrating the feasibility and effectiveness of privacy-centric FL using transfer learning. This allows researchers and engineers to gain insights from data across various fields, improving quality of life and user experience without compromising privacy.

2022 IEEE INTERNATIONAL CONFERENCE ON PERVASIVE COMPUTING AND COMMUNICATIONS WORKSHOPS AND OTHER AFFILIATED EVENTS (PERCOM WORKSHOPS) (2022)

Article Computer Science, Information Systems

Unlocking Edge Intelligence Through Tiny Machine Learning (TinyML)

Syed Ali Raza Zaidi et al.

Summary: Machine Learning (ML) on the edge is crucial for new IoT and autonomous system applications. The TinyML framework allows the execution of ML models on low-power embedded devices, offering better power efficiency and reduced latency. The TMLaaS architecture presents design trade-offs in terms of energy consumption, security, privacy, and latency.

IEEE ACCESS (2022)

Article Robotics

BVMatch: Lidar-Based Place Recognition Using Bird's-Eye View Images

Lun Luo et al.

Summary: This research introduces a Lidar-based framework called BVMatch for place recognition in large-scale environments, which estimates 2D relative poses by discretizing the ground area into grids and projecting them to bird's-eye view images. By utilizing Log-Gabor filters and maximum index maps, a new descriptor BVFT is proposed that is insensitive to rotation and intensity variations, unifying place recognition and pose estimation tasks in the BVMatch framework. Experiments conducted on three large-scale datasets demonstrate that BVMatch outperforms state-of-the-art methods in both recall rate of place recognition and pose estimation accuracy.

IEEE ROBOTICS AND AUTOMATION LETTERS (2021)

Editorial Material Engineering, Electrical & Electronic

Heterogeneous Integration: A Promising Technology to Future Integrated Power Conversion Electronics

Fanyi Meng et al.

IEEE POWER ELECTRONICS MAGAZINE (2021)

Review Engineering, Aerospace

Review of designs and flight control techniques of hybrid and convertible VTOL UAVs

Guillaume J. J. Ducard et al.

Summary: This paper provides a comprehensive analysis of control of hybrid and convertible UAVs, highlighting challenges and trends in the field.

AEROSPACE SCIENCE AND TECHNOLOGY (2021)

Article Engineering, Aerospace

Deep learning enabled localization for UAV autolanding

Minghui Li et al.

Summary: This article focuses on ground vision guided autonomous landing of fixed-wing UAV in GNSS denied environments. Deep learning models were developed for target bounding box detection and point regression, improving accuracy. Experimental results show that the proposed method outperforms previous works in terms of accuracy, robustness and real-time criteria.

CHINESE JOURNAL OF AERONAUTICS (2021)

Article Engineering, Electrical & Electronic

A Comprehensive Survey on Transfer Learning

Fuzhen Zhuang et al.

Summary: Transfer learning aims to improve the performance of target learners by transferring knowledge from related source domains, reducing the reliance on target-domain data. This survey aims to systematize and summarize existing research studies in order to help readers understand the current status and ideas in the area of transfer learning.

PROCEEDINGS OF THE IEEE (2021)

Article Automation & Control Systems

Diagnosis of Interturn Short-Circuit Faults in Permanent Magnet Synchronous Motors Based on Few-Shot Learning Under a Federated Learning Framework

Jinglin Zhang et al.

Summary: The proposed method based on SSAEs and Siamese networks effectively detects ITSC faults in permanent magnet synchronous motors, achieving high fault diagnosis accuracy through extracting sparse features and determining sample similarity in a limited sample size. The method is successfully trained in both centralized learning and decentralized structure frameworks, showing suitability for deployment in smart manufacturing systems.

IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS (2021)

Article Automation & Control Systems

An Asynchronous and Real-Time Update Paradigm of Federated Learning for Fault Diagnosis

Xue Ma et al.

Summary: This article proposes an asynchronous update paradigm for FL method, which aims to solve the shortcomings of existing methods by real-time identification of the client's network parameters and using linear fusion and linear filtering methods. The goal is to achieve diagnostic accuracy close to centralized learning method.

IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS (2021)

Article Chemistry, Analytical

Robustifying the Deployment of tinyML Models for Autonomous Mini-Vehicles

Miguel de Prado et al.

Summary: Utilizing compact tinyCNNs to control mini-vehicles by mimicking computer vision algorithms in the target environment, along with an online predictor and low-power MCU, can enhance the stability and efficiency of autonomous driving.

SENSORS (2021)

Article Chemistry, Multidisciplinary

Hardware/Software Co-Design for TinyML Voice-Recognition Application on Resource Frugal Edge Devices

Jisu Kwon et al.

Summary: This paper discusses the structure of distributing the preprocessing part of external input data to edge device hardware, and the experiment shows that this method can effectively reduce the execution time and energy consumption of TinyML applications.

APPLIED SCIENCES-BASEL (2021)

Article Engineering, Electrical & Electronic

An Overview of Artificial Intelligence Applications for Power Electronics

Shuai Zhao et al.

Summary: This article provides an overview of the applications of artificial intelligence in power electronic systems, correlating the design, control, and maintenance phases with tasks addressed by AI. It discusses the applications of four categories of AI and reviews more than 500 publications to identify common understandings, practical challenges, and research opportunities in the field. The article includes an Excel file listing relevant publications for statistical analytics.

IEEE TRANSACTIONS ON POWER ELECTRONICS (2021)

Article Computer Science, Hardware & Architecture

Arnold: An eFPGA-Augmented RISC-V SoC for Flexible and Low-Power IoT End Nodes

Pasquale Davide Schiavone et al.

Summary: This study introduces Arnold: a low-power, high-performance programmable microcontroller unit that can handle and transmit various data needed for IoT applications.

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (2021)

Article Computer Science, Information Systems

A Survey on Federated Learning: The Journey From Centralized to Distributed On-Site Learning and Beyond

Sawsan AbdulRahman et al.

Summary: Driven by privacy concerns and deep learning visions, a paradigm shift has occurred in the applicability mechanism of machine learning (ML) over the past four years. A new model called federated learning (FL) has emerged as a privacy-preserving decentralized approach that involves local ML training and eliminates data communication overhead. This article explores and compares various ML-based deployment architectures, with a focus on in-depth investigation of FL, providing a new classification of FL topics and research fields based on analysis of technical challenges and current work in the field.

IEEE INTERNET OF THINGS JOURNAL (2021)

Article Agriculture, Multidisciplinary

An industrial-grade solution for agricultural image classification tasks

Yingshu Peng et al.

Summary: The research proposed a high-performance, low-cost, powerful, and feasible solution to address the challenges faced in agricultural automation image classification. It involves fine-tuning training models, neural network pruning and knowledge distillation retraining, converting to ONNX format, and deploying models on the NCNN platform.

COMPUTERS AND ELECTRONICS IN AGRICULTURE (2021)

Article Engineering, Biomedical

RISC-V CNN Coprocessor for Real-Time Epilepsy Detection in Wearable Application

Shuenn-Yuh Lee et al.

Summary: Epilepsy is a common clinical disease that can be life-threatening if not detected and treated promptly. Observing EEG signals is crucial for correct epilepsy diagnosis. Using a convolutional neural network for detecting and classifying epilepsy can achieve high accuracy results.

IEEE TRANSACTIONS ON BIOMEDICAL CIRCUITS AND SYSTEMS (2021)

Article Engineering, Electrical & Electronic

Battery-constrained federated edge learning in UAV-enabled IoT for B5G/6G networks

Shunpu Tang et al.

Summary: This paper investigates the optimization of federated edge learning in UAV-enabled IoT networks, considering the limited batteries of devices. The study proposes a strategy based on deep deterministic policy gradient to jointly allocate computational resources and wireless bandwidth, aiming to reduce system cost and improve FEEL performance effectively. Simulation results demonstrate that the proposed strategy outperforms conventional methods by enabling devices to complete all rounds of FEEL with limited batteries.

PHYSICAL COMMUNICATION (2021)

Proceedings Paper Computer Science, Hardware & Architecture

Automated HW/SW Co-design for Edge AI: State, Challenges and Steps Ahead

Oliver Bringmann et al.

Summary: In the era of Big Data, Internet of Things, and Smart Cyber Physical Systems, the rapid rate of data production requires massive data processing, storage, and transmission, as well as continuous interaction with the physical world. Moving intelligence from the cloud to the edge has become a strong trend in IoT systems, but designing powerful machine learning systems under strict resource constraints poses a challenging task for designers. This requires consideration of the complete system stack from machine learning algorithms to hardware platform and ML accelerator design.

2021 INTERNATIONAL CONFERENCE ON HARDWARE/SOFTWARE CODESIGN AND SYSTEM SYNTHESIS (CODES+ISSS 2021) (2021)

Proceedings Paper Computer Science, Hardware & Architecture

GVSoC: A Highly Configurable, Fast and Accurate Full-Platform Simulator for RISC-V based IoT Processors

Nazareno Bruschi et al.

Summary: IoT processors have emerged in recent years, utilizing ultra-low power micro-controller units and application-specific accelerators to optimize performance and energy efficiency. High-level simulators play a crucial role in enabling agile design exploration while breaking speed and design effort bottlenecks.

2021 IEEE 39TH INTERNATIONAL CONFERENCE ON COMPUTER DESIGN (ICCD 2021) (2021)

Proceedings Paper Computer Science, Artificial Intelligence

TinyOL: TinyML with Online-Learning on Microcontrollers

Haoyu Ren et al.

Summary: TinyML is a growing research area focusing on democratizing deep learning for microcontrollers, but current solutions lack flexibility due to static models and limited adaptability. To address these issues, the innovative TinyOL system enables incremental on-device training on streaming data, showing effectiveness and feasibility for constrained IoT devices.

2021 INTERNATIONAL JOINT CONFERENCE ON NEURAL NETWORKS (IJCNN) (2021)

Proceedings Paper Engineering, Aerospace

Safe Landing Area Determination (SLAD) for Unmanned Aircraft Systems by using rotary LiDAR

Gennaro Ariante et al.

Summary: The use of UAVs is increasing due to their versatility, flexibility, and low cost. The system proposed in the paper utilizes a LiDAR sensor, Raspberry Pi 3, and Ground Control Station for precise monitoring and control in complex environments. This support control system is essential for practical operations in urban air mobility framework.

2021 IEEE 8TH INTERNATIONAL WORKSHOP ON METROLOGY FOR AEROSPACE (IEEE METROAEROSPACE) (2021)

Article Computer Science, Hardware & Architecture

UAV-Assisted Communication Efficient Federated Learning in the Era of the Artificial Intelligence of Things

Wei Yang Bryan Lim et al.

Summary: This article discusses the use of UAV-assisted Federated Learning (FL) to improve communication efficiency in IoT devices. The FL model owner employs UAVs for model aggregation and parameter relay, increasing reach to data owners facing uncertain network conditions. The contract incentive design ensures UAVs choose appropriate incentives, leading to significant improvement in communication efficiency.

IEEE NETWORK (2021)

Proceedings Paper Computer Science, Artificial Intelligence

Evolving Simple Solutions to the CIFAR-10 Benchmark using Tangled Program Graphs

Robert J. Smith et al.

Summary: The goal of the CIFAR-10 benchmark is to discover lightweight and accurate solutions, addressing practical issues like cardinality, multi-class classification, and diversity maintenance. Solutions are demonstrated using a data subset for exemplar pools, tangled program graph approach, and modified mutation operator to ensure class labels do not 'die out' during evolution. The resulting solutions are significantly more accurate than AutoML and comparable to unsupervised feature discovery, with TPG solutions being simpler.

2021 IEEE CONGRESS ON EVOLUTIONARY COMPUTATION (CEC 2021) (2021)

Proceedings Paper Computer Science, Theory & Methods

FedADC: Accelerated Federated Learning with Drift Control

Emre Ozfatura et al.

Summary: Federated learning is a framework for collaborative learning among edge devices with privacy concerns, using distributed stochastic gradient descent (SGD). The proposed accelerated FL algorithm FedADC addresses both acceleration and drift control issues, showing empirical advantages.

2021 IEEE INTERNATIONAL SYMPOSIUM ON INFORMATION THEORY (ISIT) (2021)

Review Engineering, Electrical & Electronic

A Survey on Fault Diagnosis and Fault-Tolerant Control Methods for Unmanned Aerial Vehicles

George K. Fourlas et al.

Summary: The continuous evolution of modern technology has led to the creation of increasingly complex and advanced systems, including Unmanned Aerial Vehicles (UAVs) where fault diagnosis and fault tolerance are crucial for ensuring reliable performance. Specifically designed fault-monitoring systems are required to supervise and debug subsystems such as sensors and actuators to prevent disastrous consequences.

MACHINES (2021)

Article Computer Science, Software Engineering

ORTiS solver codegen: C plus plus code generation tools for high performance, FPGA-based, real-time simulation of power electronic systems

Matthew Milton et al.

Summary: The solver code generation tools of the ORTiS framework are designed to create real-time simulation solvers for power electronics systems, supporting high level synthesis to HDL and enabling FPGA solvers with nanosecond resolution in real-time. These tools also support the creation of multi-FPGA solvers and the use of user-defined power electronic component models, allowing engineers to perform hardware-in-the-loop testing with high frequency dynamics.

SOFTWAREX (2021)

Article Computer Science, Interdisciplinary Applications

A probabilistic graphical model foundation for enabling predictive digital twins at scale

Michael G. Kapteyn et al.

Summary: This work proposes a probabilistic graphical model as a formal mathematical representation of a digital twin and its associated physical asset, enabling the transition from custom implementations to robust digital twin implementations at scale. The model's declarative and general nature allows for rigorous yet flexible application in diverse areas. Demonstrations show how the model can be instantiated and calibrated with experimental data for dynamic decision-making scenarios.

NATURE COMPUTATIONAL SCIENCE (2021)

Article Computer Science, Information Systems

Accelerating Federated Learning for IoT in Big Data Analytics With Pruning, Quantization and Selective Updating

Wenyuan Xu et al.

Summary: The increasing number of IoT devices generates massive data, raising concerns about data privacy and network cost with the current cloud-centric approach. Federated learning emerges as a promising solution, but IoT devices often have limited resources for training deep neural networks following the FL pattern. The proposed FL-PQSU framework in this paper aims to reduce computation, storage, and communication costs to accelerate FL training while maintaining learning performance.

IEEE ACCESS (2021)

Article Engineering, Electrical & Electronic

A Survey of FPGA-Based Robotic Computing

Zishen Wan et al.

Summary: Recent researches in robotics have shown significant improvements, with different platforms having their own advantages in handling the high complexity of robotic algorithms. FPGA-based robotic accelerators are becoming competitive alternatives in performance and energy efficiency, surpassing CPU and GPU in some scenarios.

IEEE CIRCUITS AND SYSTEMS MAGAZINE (2021)

Article Computer Science, Artificial Intelligence

Precise drone location and tracking by adaptive matched filtering from a top-view ToF camera

Jose A. Paredes et al.

EXPERT SYSTEMS WITH APPLICATIONS (2020)

Review Computer Science, Information Systems

Unmanned aerial vehicle for internet of everything: Opportunities and challenges

Yalin Liu et al.

COMPUTER COMMUNICATIONS (2020)

Article Computer Science, Theory & Methods

Accelerating Federated Learning via Momentum Gradient Descent

Wei Liu et al.

IEEE TRANSACTIONS ON PARALLEL AND DISTRIBUTED SYSTEMS (2020)

Article Engineering, Electrical & Electronic

Controller-Embeddable Probabilistic Real-Time Digital Twins for Power Electronic Converter Diagnostics

Matthew Milton et al.

IEEE TRANSACTIONS ON POWER ELECTRONICS (2020)

Article Engineering, Electrical & Electronic

A Multiport Power Conversion System for the More Electric Aircraft

Chunyang Gu et al.

IEEE TRANSACTIONS ON TRANSPORTATION ELECTRIFICATION (2020)

Proceedings Paper Computer Science, Hardware & Architecture

RISC-V FPGA Platform toward ROS-based Robotics Application

Jaewon Lee et al.

2020 30TH INTERNATIONAL CONFERENCE ON FIELD-PROGRAMMABLE LOGIC AND APPLICATIONS (FPL) (2020)

Proceedings Paper Computer Science, Hardware & Architecture

Xuantie-910: A Commercial Multi-Core 12-Stage Pipeline Out-of-Order 64-bit High Performance RISC-V Processor with Vector Extension

Chen Chen et al.

2020 ACM/IEEE 47TH ANNUAL INTERNATIONAL SYMPOSIUM ON COMPUTER ARCHITECTURE (ISCA 2020) (2020)

Article Computer Science, Information Systems

Development of an Automated Camera-Based Drone Landing System

Malik Demirhan et al.

IEEE ACCESS (2020)

Review Computer Science, Interdisciplinary Applications

A review of applications in federated learning

Li Li et al.

COMPUTERS & INDUSTRIAL ENGINEERING (2020)

Article Computer Science, Information Systems

A Desktop Electric Machine Emulator Implementation Method Based on Phase Voltage Reconstruction

Ming-Yu Wang et al.

IEEE ACCESS (2020)

Article Computer Science, Information Systems

Digital Twin: Enabling Technologies, Challenges and Open Research

Aidan Fuller et al.

IEEE ACCESS (2020)

Article Computer Science, Information Systems

Federated Learning-Based Cognitive Detection of Jamming Attack in Flying Ad-Hoc Network

Nishat Mowla et al.

IEEE ACCESS (2020)

Article Engineering, Electrical & Electronic

PWM-VSI Fault Diagnosis for a PMSM Drive Based on the Fuzzy Logic Approach

Hao Yan et al.

IEEE TRANSACTIONS ON POWER ELECTRONICS (2019)

Article Computer Science, Artificial Intelligence

A Deep Reinforcement Learning Strategy for UAV Autonomous Landing on a Moving Platform

Alejandro Rodriguez-Ramos et al.

JOURNAL OF INTELLIGENT & ROBOTIC SYSTEMS (2019)

Article Computer Science, Software Engineering

Real-time control architecture based on Xenomai using ROS packages for a service robot

Raimarius Delgado et al.

JOURNAL OF SYSTEMS AND SOFTWARE (2019)

Article Engineering, Electrical & Electronic

UAVs as Mobile Infrastructure: Addressing Battery Lifetime

Boris Galkin et al.

IEEE COMMUNICATIONS MAGAZINE (2019)

Article Computer Science, Artificial Intelligence

Unsupervised anomaly detection in unmanned aerial vehicles

Samir Khan et al.

APPLIED SOFT COMPUTING (2019)

Review Chemistry, Analytical

A Survey on Machine-Learning Techniques for UAV-Based Communications

Petros S. Bithas et al.

SENSORS (2019)

Article Computer Science, Information Systems

A 64-mW DNN-Based Visual Navigation Engine for Autonomous Nano-Drones

Daniele Palossi et al.

IEEE INTERNET OF THINGS JOURNAL (2019)

Proceedings Paper Computer Science, Hardware & Architecture

On-line Testing for Autonomous Systems driven by RISC-V Processor Design Verification

Annachiara Ruospo et al.

2019 IEEE INTERNATIONAL SYMPOSIUM ON DEFECT AND FAULT TOLERANCE IN VLSI AND NANOTECHNOLOGY SYSTEMS (DFT) (2019)

Proceedings Paper Computer Science, Information Systems

SmartPC: Hierarchical Pace Control in Real-Time Federated Learning System

Li Li et al.

2019 IEEE 40TH REAL-TIME SYSTEMS SYMPOSIUM (RTSS 2019) (2019)

Article Social Sciences, Interdisciplinary

Leveraging Digital Twin Technology in Model-Based Systems Engineering

Azad M. Madni et al.

SYSTEMS (2019)

Proceedings Paper Computer Science, Artificial Intelligence

Secure Real-Time Heterogeneous IoT Data Management System

Md Shihabul Islam et al.

2019 FIRST IEEE INTERNATIONAL CONFERENCE ON TRUST, PRIVACY AND SECURITY IN INTELLIGENT SYSTEMS AND APPLICATIONS (TPS-ISA 2019) (2019)

Proceedings Paper Engineering, Electrical & Electronic

A RISC-V Based Open Hardware Platform for Always-On Wearable Smart Sensing

Manuel Eggimann et al.

2019 IEEE 8TH INTERNATIONAL WORKSHOP ON ADVANCES IN SENSORS AND INTERFACES (IWASI) (2019)

Article Computer Science, Hardware & Architecture

Learning IoT in Edge: Deep Learning for the Internet of Things with Edge Computing

He Li et al.

IEEE NETWORK (2018)

Article Automation & Control Systems

Interests and Limits of Machine Learning-Based Neural Networks for Rotor Position Estimation in EV Traction Drives

Wided Zine et al.

IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS (2018)

Article Engineering, Electrical & Electronic

A RISC-V Processor SoC With Integrated Power Management at Submicrosecond Timescales in 28 nm FD-SOI

Ben Keller et al.

IEEE JOURNAL OF SOLID-STATE CIRCUITS (2017)

Article Engineering, Electrical & Electronic

A RISC-V Vector Processor With Simultaneous-Switching Switched-Capacitor DC-DC Converters in 28 nm FDSOI

Brian Zimmer et al.

IEEE JOURNAL OF SOLID-STATE CIRCUITS (2016)