4.5 Review

Heterogeneous Flight Management System (FMS) Design for Unmanned Aerial Vehicles (UAVs): Current Stages, Challenges, and Opportunities

Journal

DRONES
Volume 7, Issue 6, Pages -

Publisher

MDPI
DOI: 10.3390/drones7060380

Keywords

heterogeneous computing; flight management system; UAV; SWaP-C

Categories

Ask authors/readers for more resources

In the era of Machine Learning (ML), the development of Unmanned Aerial Vehicles (UAVs) is facing challenges due to the exponential multi-sensor data, increasing number of actuators, and data-intensive algorithms. This paper investigates the integration of Heterogeneous Computing (HC) in the Flight Management System (FMS) of UAVs. It provides an overview of cross-layer FMS design and highlights the advantages of HC in Light-ML, accelerated Federated Learning (FL), and hardware accelerators. The paper also focuses on visual-guided landing, intelligent Fault Diagnosis and Detection (FDD), and controller-embeddable Power Electronics (PE) to illustrate advancements in FMS design.
In the Machine Learning (ML) era, faced with challenges, including exponential multi-sensor data, an increasing number of actuators, and data-intensive algorithms, the development of Unmanned Aerial Vehicles (UAVs) is standing on a new footing. In particular, the Flight Management System (FMS) plays an essential role in UAV design. However, the trade-offs between performance and SWaP-C (Size, Weight, Power, and Cost) and reliability-efficiency are challenging to determine for such a complex system. To address these issues, the identification of a successful approach to managing heterogeneity emerges as the critical question to be answered. This paper investigates Heterogeneous Computing (HC) integration in FMS in the UAV domain from academia to industry. The overview of cross-layer FMS design is firstly described from top-down in the abstraction layer to left-right in the figurative layer. In addition, the HC advantages from Light-ML, accelerated Federated Learning (FL), and hardware accelerators are highlighted. Accordingly, three distinct research focuses detailed with visual-guided landing, intelligent Fault Diagnosis and Detection (FDD), and controller-embeddable Power Electronics (PE) to distinctly illustrate advancements of the next-generation FMS design from sensing, and computing, to driving. Finally, recommendations for future research and opportunities are discussed. In summary, this article draws a road map that considers the heterogeneous advantages to conducting the Flight-Management-as-a-Service (FMaaS) platform for UAVs.

Authors

I am an author on this paper
Click your name to claim this paper and add it to your profile.

Reviews

Primary Rating

4.5
Not enough ratings

Secondary Ratings

Novelty
-
Significance
-
Scientific rigor
-
Rate this paper

Recommended

No Data Available
No Data Available