4.3 Review

Progress report on high aspect ratio patterning for memory devices

Related references

Note: Only part of the references are listed.
Article Materials Science, Coatings & Films

Dry etching in the presence of physisorption of neutrals at lower temperatures

Thorsten Lill et al.

Summary: In this article, an overview of the chemical and physical processes involved in etching at lower wafer temperatures is provided. The traditional plasma etching processes rely on the formation of radicals for chemisorption at the surface. However, at low temperatures, molecules only undergo physisorption and lack sufficient energy for chemical reactions. The increased concentration of neutrals on the surface through physisorption contributes to etching if they are chemically activated. The low temperature window for etching is influenced by condensation and diminished physisorption. The importance and utility of low temperature processing in semiconductor etching applications are discussed.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A (2023)

Article Physics, Applied

Fast and realistic 3D feature profile simulation platform for plasma etching process

Yeong Geun Yook et al.

Summary: This study presents a topographic simulation platform that considers 3D surface movement, neutral and ion transport, and surface reactions in plasma high-aspect-ratio oxide etching. The platform allows for efficient calculations and reduced computational load.

JOURNAL OF PHYSICS D-APPLIED PHYSICS (2022)

Article Physics, Applied

Novel technology of high-aspect-ratio etch utilizing coverage-controllable atomic layer deposition

Sho Kumakura et al.

Summary: We studied a method to produce a controllable coverage sidewall protective film and successfully applied it in the etching process of high-aspect-ratio contact holes. By selectively depositing SiO2 protective film, we were able to suppress bowing without affecting the bottom critical dimension and etch-stop. By analyzing the relationship between activation time and film thickness, we determined that the coverage is influenced by the transport of oxygen radicals and developed a simulator to estimate the coverage during etching.

JAPANESE JOURNAL OF APPLIED PHYSICS (2022)

Review Chemistry, Multidisciplinary

Architecture and Process Integration Overview of 3D NAND Flash Technologies

Geun Ho Lee et al.

Summary: This paper reviews 3D NAND flash technologies in terms of their architecture and fabrication methods, comparing the advantages and disadvantages of the architectures.

APPLIED SCIENCES-BASEL (2021)

Article Materials Science, Coatings & Films

Pattern dependent profile distortion during plasma etching of high aspect ratio features in SiO2

Shuo Huang et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A (2020)

Article Physics, Applied

Formation mechanism of sidewall striation in high-aspect-ratio hole etching

Mitsuhiro Omura et al.

JAPANESE JOURNAL OF APPLIED PHYSICS (2019)

Article Materials Science, Coatings & Films

Plasma etching of high aspect ratio features in SiO2 using Ar/C4F8/O2 mixtures: A computational investigation

Shuo Huang et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A (2019)

Article Physics, Applied

Effect of plasma dissociation on fluorocarbon layers formed under C4F8/Ar pulsed plasma for SiO2 etching

Miyako Matsui et al.

JAPANESE JOURNAL OF APPLIED PHYSICS (2017)

Article Physics, Applied

Effect of temperature on deposition layer formation in HBr/N2/fluorocarbon-based plasma

Taku Iwase et al.

JAPANESE JOURNAL OF APPLIED PHYSICS (2017)

Article Materials Science, Coatings & Films

Atomic layer etching of 3D structures in silicon: Self-limiting and nonideal reactions

Chad M. Huard et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A (2017)

Article Engineering, Electrical & Electronic

Bottom profile degradation mechanism in high aspect ratio feature etching based on pattern transfer observation

Nobuyuki Negishi et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B (2017)

Review Materials Science, Coatings & Films

Plasma etching: Yesterday, today, and tomorrow

Vincent M. Donnelly et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A (2013)

Review Physics, Applied

High aspect ratio silicon etch: A review

Banqiu Wu et al.

JOURNAL OF APPLIED PHYSICS (2010)

Article Physics, Applied

Effects of Mask and Necking Deformation on Bowing and Twisting in High-Aspect-Ratio Contact Hole Etching

Masatoshi Miyake et al.

JAPANESE JOURNAL OF APPLIED PHYSICS (2009)

Article Engineering, Chemical

An alternative procedure for modeling of Knudsen flow and surface diffusion

Aykut Argönül et al.

PERIODICA POLYTECHNICA-CHEMICAL ENGINEERING (2009)

Article Materials Science, Coatings & Films

Role of fluorocarbon film formation in the etching of silicon, silicon dioxide, silicon nitride, and amorphous hydrogenated silicon carbide

TEFM Standaert et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A (2004)

Article Materials Science, Coatings & Films

Investigations of surface reactions during C2F6 plasma etching of SiO2 with equipment and feature scale models

D Zhang et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A-VACUUM SURFACES AND FILMS (2001)