4.5 Article

A Fully Digital SRAM-Based Four-Layer In-Memory Computing Unit Achieving Multiplication Operations and Results Store

Related references

Note: Only part of the references are listed.
Article Engineering, Electrical & Electronic

A 389 TOPS/W, Always ON Region Proposal Integrated Circuit Using In-Memory Computing in 65 nm CMOS

Sumon Kumar Bose et al.

Summary: This article proposes a region proposal network based on neuromorphic vision sensors for energy savings in Internet of Things traffic monitoring systems. The algorithm utilizes one-dimensional projection of images for edge detection, leading to high energy efficiency and throughput.

IEEE JOURNAL OF SOLID-STATE CIRCUITS (2023)

Article Engineering, Electrical & Electronic

A 7-nm Compute-in-Memory SRAM Macro Supporting Multi-Bit Input, Weight and Output and Achieving 351 TOPS/W and 372.4 GOPS

Mahmut E. Sinangil et al.

Summary: This work introduces a compute-in-memory macro based on a standard two-port compiler macro and foundry 8T bit-cell, capable of supporting 1024 4x4-bit multiply-and-accumulate computations simultaneously. The 4-bit input and weight are represented using charge sharing and binary-weighted computation caps, utilizing the inherent cap of the sense amplifier inside the 4-bit Flash ADC. The proposed design achieves energy efficiency of 351 TOPS/W and throughput of 372.4 GOPS, with a 5.5 ns access time at 0.8V power supply.

IEEE JOURNAL OF SOLID-STATE CIRCUITS (2021)

Article Engineering, Electrical & Electronic

±CIM SRAM for Signed In-Memory Broad-Purpose Computing From DSP to Neural Processing

Saurabh Jain et al.

Summary: This work introduces a new +/- CIM SRAM macro with the unique capability of in-memory multiply-and-accumulate computation. It can handle signed inputs and weights, enabling a broad set of workloads and preserving data locality. With a pipelined architecture, concurrent read/write and compute operations are allowed for improved throughput and easier system integration.

IEEE JOURNAL OF SOLID-STATE CIRCUITS (2021)

Article Computer Science, Hardware & Architecture

An SRAM-Based Multibit In-Memory Matrix-Vector Multiplier With a Precision That Scales Linearly in Area, Time, and Power

Riduan Khaddam-Aljameh et al.

Summary: This research introduces a novel interleaved switched-capacitor and SRAM-based matrix-vector multiply-accumulate engine for in-memory computing, which converts digital weights into voltages for computation and accumulates multiplication results. The proposed architecture demonstrates linear scalability, high efficiency, and detailed analysis on energy consumption and computation accuracy. Overall system feasibility and performance are verified through comprehensive design and implementation studies in 14 nm technology.

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (2021)

Article Engineering, Electrical & Electronic

Time-Domain Computing in Memory Using Spintronics for Energy-Efficient Convolutional Neural Network

Yue Zhang et al.

Summary: This article introduces a time-domain computing in memory (TD-CIM) scheme using spintronics to build an energy-efficient convolutional neural network (CNN). Basic Boolean logic operations are implemented by recording different moments of the bit-line output; a multi-addend addition mechanism is introduced to eliminate cascaded full adders; quantization method is proposed to transform floating-point parameters into fixed-point parameters to optimize the compatibility of TD-CIM circuit for CNN.

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS (2021)

Article Engineering, Electrical & Electronic

A Local Computing Cell and 6T SRAM-Based Computing-in-Memory Macro With 8-b MAC Operation for Edge AI Chips

Xin Si et al.

Summary: This article introduces a computing-in-memory structure aimed at improving the energy efficiency of edge devices, utilizing SRAM to achieve high-precision MAC operations and enhancements in energy efficiency and readout accuracy; furthermore, an algorithm-adaptive low MAC-aware readout scheme is proposed to improve efficiency.

IEEE JOURNAL OF SOLID-STATE CIRCUITS (2021)

Article Engineering, Electrical & Electronic

Colonnade: A Reconfigurable SRAM-Based Digital Bit-Serial Compute-In-Memory Macro for Processing Neural Networks

Hyunjoon Kim et al.

Summary: This article introduces a fully digital bit-serial compute-in-memory macro for processing neural networks with reconfigurable input and weight precisions. The macro achieves high performance and energy efficiency by utilizing digital CIM, reconfigurability, and bit-serial computing architecture. The architecture differs from existing analog and digital implementations and reduces area overhead through a bit-serial computing scheme.

IEEE JOURNAL OF SOLID-STATE CIRCUITS (2021)

Article Engineering, Electrical & Electronic

A Charge-Domain Scalable-Weight In-Memory Computing Macro With Dual-SRAM Architecture for Precision-Scalable DNN Accelerators

Eunyoung Lee et al.

Summary: This paper introduces a charge-domain in-memory computing macro capable of supporting variable-precision signed weights, achieving bit-scalable MAC operations with high energy efficiency. Experimental results demonstrate that the macro exhibits high performance under different weight precisions and achieves high energy efficiency under different weight numbers.

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS (2021)

Article Engineering, Electrical & Electronic

A 28-nm Compute SRAM With Bit-Serial Logic/Arithmetic Operations for Programmable In-Memory Vector Computing

Jingcheng Wang et al.

IEEE JOURNAL OF SOLID-STATE CIRCUITS (2020)

Article Computer Science, Hardware & Architecture

In-Memory Computing With Double Word Lines and Three Read Ports for Four Operands

Zhiting Lin et al.

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (2020)

Article Engineering, Electrical & Electronic

IMAC: In-Memory Multi-Bit Multiplication and ACcumulation in 6T SRAM Array

Mustafa Ali et al.

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS (2020)

Article Engineering, Electrical & Electronic

A 4-Kb 1-to-8-bit Configurable 6T SRAM-Based Computation-in-Memory Unit-Macro for CNN-Based AI Edge Processors

Yen-Cheng Chiu et al.

IEEE JOURNAL OF SOLID-STATE CIRCUITS (2020)

Article Engineering, Electrical & Electronic

Tianjic: A Unified and Scalable Chip Bridging Spike-Based and Continuous Neural Computation

Lei Deng et al.

IEEE JOURNAL OF SOLID-STATE CIRCUITS (2020)

Article Engineering, Electrical & Electronic

A Programmable Heterogeneous Microprocessor Based on Bit-Scalable In-Memory Computing

Hongyang Jia et al.

IEEE JOURNAL OF SOLID-STATE CIRCUITS (2020)

Article Computer Science, Hardware & Architecture

BLADE: An in-Cache Computing Architecture for Edge Devices

William Andrew Simon et al.

IEEE TRANSACTIONS ON COMPUTERS (2020)

Proceedings Paper Computer Science, Hardware & Architecture

XOR-CIM: Compute-In-Memory SRAM Architecture with Embedded XOR Encryption

Shanshi Huang et al.

2020 IEEE/ACM INTERNATIONAL CONFERENCE ON COMPUTER AIDED-DESIGN (ICCAD) (2020)

Proceedings Paper Engineering, Electrical & Electronic

15.2 A 28nm 64Kb Inference-Training Two-Way Transpose Multibit 6T SRAM Compute-in-Memory Macro for AI Edge Chips

Jian-Wei Su et al.

2020 IEEE INTERNATIONAL SOLID- STATE CIRCUITS CONFERENCE (ISSCC) (2020)

Article Engineering, Electrical & Electronic

CONV-SRAM: An Energy-Efficient SRAM With In-Memory Dot-Product Computation for Low-Power Convolutional Neural Networks

Avishek Biswas et al.

IEEE JOURNAL OF SOLID-STATE CIRCUITS (2019)

Proceedings Paper Engineering, Electrical & Electronic

A 16K SRAM-Based Mixed-Signal In-Memory Computing Macro Featuring Voltage-Mode Accumulator and Row-by-Row ADC

Hyunjoon Kim et al.

2019 IEEE ASIAN SOLID-STATE CIRCUITS CONFERENCE (A-SSCC) (2019)

Proceedings Paper Computer Science, Theory & Methods

CIMAT: A Transpose SRAM-based Compute-In-Memory Architecture for Deep Neural Network On-Chip Training

Hongwu Jiang et al.

MEMSYS 2019: PROCEEDINGS OF THE INTERNATIONAL SYMPOSIUM ON MEMORY SYSTEMS (2019)

Article Engineering, Electrical & Electronic

X-SRAM: Enabling In-Memory Boolean Computations in CMOS Static Random Access Memories

Amogh Agrawal et al.

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS (2018)

Article Engineering, Electrical & Electronic

An Energy-Efficient Precision-Scalable ConvNet Processor in 40-nm CMOS

Bert Moons et al.

IEEE JOURNAL OF SOLID-STATE CIRCUITS (2017)

Article Engineering, Electrical & Electronic

Eyeriss: An Energy-Efficient Reconfigurable Accelerator for Deep Convolutional Neural Networks

Yu-Hsin Chen et al.

IEEE JOURNAL OF SOLID-STATE CIRCUITS (2017)

Article Engineering, Biomedical

In-Memory Computing Architectures for Sparse Distributed Memory

Mingu Kang et al.

IEEE TRANSACTIONS ON BIOMEDICAL CIRCUITS AND SYSTEMS (2016)

Article Computer Science, Hardware & Architecture

True North: Design and Tool Flow of a 65 mW 1 Million Neuron Programmable Neurosynaptic Chip

Filipp Akopyan et al.

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS (2015)