4.5 Article

Rethinking DRAM's Page Mode With STT-MRAM

Journal

IEEE TRANSACTIONS ON COMPUTERS
Volume 72, Issue 5, Pages 1503-1517

Publisher

IEEE COMPUTER SOC
DOI: 10.1109/TC.2022.3207131

Keywords

STT-MRAM; DRAM; DDR; non-volatile memory

Ask authors/readers for more resources

Spin torque magnetic random access memory (STT-MRAM) is considered as an ideal replacement for DRAM-based main memory due to its higher energy efficiency and similar latency. However, simply replacing DRAM with STT-MRAM without optimizations can severely limit its performance. To address these challenges, we propose SMART, a STT-MRAM architecture that supports smart activation and sensing. SMART achieves benefits such as larger pages, fewer sense amplifiers, lower activation power, higher bank-level parallelism, shorter latency, fewer address pins, and more efficient repairing of defective columns compared to conventional STT-MRAM.
Spin torque magnetic random access memory (STT-MRAM) is a promising candidate for drop-in replacement for DRAM-based main memory because of its higher energy efficiency and similar latency to dynamic random access memory (DRAM). However, simply replacing DRAM with STT-MRAM without optimizations severely limits STT-MRAM from exploiting its full potential. STT-MRAM employs costly sense amplifiers that demand an order of magnitude more area and power than DRAM. To manage the high cost, STT-MRAM shares one sense amplifier across multiple bit-lines, exploiting the non-destructive nature of its read operation. This sense amplifier sharing reduces the size of row buffers; as a result, it incurs higher activation energy and lower performance. Other issues arise if STT-MRAM is required to be compatible with DRAM interfaces and policies. To address these challenges in a cost-effective manner, we propose STT-MRAM ARchiTecture supporting smart activation and sensing (SMART) that, unlike DRAM and conventional STT-MRAM, waits to do bit-line sensing until after receiving a column access command instead of a row activation command. This results in several benefits: larger pages, fewer sense amplifiers, lower activation power, higher bank-level parallelism, shorter latency, fewer address pins, and more efficient repairing of defective columns than conventional STT-MRAM. Our evaluation shows that SMART consumes lower energy while providing higher performance than conventional STT-MRAM and DRAM. Additionally, SMART consumes less area compared to conventional STT-MRAM.

Authors

I am an author on this paper
Click your name to claim this paper and add it to your profile.

Reviews

Primary Rating

4.5
Not enough ratings

Secondary Ratings

Novelty
-
Significance
-
Scientific rigor
-
Rate this paper

Recommended

No Data Available
No Data Available