4.7 Article

MLiM: High-Performance Magnetic Logic in-Memory Scheme With Unipolar Switching SOT-MRAM

Related references

Note: Only part of the references are listed.
Article Physics, Multidisciplinary

Multiple modes of perpendicular magnetization switching scheme in single spin-orbit torque device

Tong-Xi Liu et al.

Summary: This study proposes a method for implementing both unipolar and bipolar switching of perpendicular magnetization within a single SOT device. The influence of key parameters on the switching mode is discussed. This proposal can be used to design novel reconfigurable logic circuits in the future.

CHINESE PHYSICS B (2022)

Article Computer Science, Hardware & Architecture

Computing-In-Memory Using Ferroelectrics: From Single- to Multi-Input Logic

Qingrong Huang et al.

Summary: The article presents computing-in-memory designs using ferroelectric field-effect transistors, which can achieve both compactness and efficiency in multiple learning tasks.

IEEE DESIGN & TEST (2022)

Article Engineering, Electrical & Electronic

Reconfigurable Bit-Serial Operation Using Toggle SOT-MRAM for High-Performance Computing in Memory Architecture

Jinkai Wang et al.

Summary: This paper introduces a reconfigurable bit-serial operation using TSOT-MRAM for computing in memory. It achieves higher throughput and energy efficiency by performing computations in the bit-cell array. The authors demonstrate the performance advantage of the bit-serial CIM scheme through convolution operations and analyze its reliability.

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS (2022)

Article Computer Science, Hardware & Architecture

SOT-MRAM Digital PIM Architecture With Extended Parallelism in Matrix Multiplication

Taehwan Kim et al.

Summary: This paper proposes a spin-orbit torque magnetic random access memory (SOT-MRAM) based digital PIM architecture, utilizing SLIM cells and CRISP architecture to alleviate the burden of additional memory size and computation cycle issues, while considering a pipelining scheme to increase throughput.

IEEE TRANSACTIONS ON COMPUTERS (2022)

Article Nanoscience & Nanotechnology

Hybrid Spintronics/CMOS Logic Circuits Using All-Optical-Enabled Magnetic Tunnel Junction

Surya Narain Dikshit et al.

Summary: This paper discusses the application of spintronics and optical switching in memory technology. High-speed and low-power logic circuits based on all optically switched MTJ are designed and compared with traditional STT and SOT circuits.

IEEE OPEN JOURNAL OF NANOTECHNOLOGY (2022)

Article Materials Science, Multidisciplinary

Effect of interfacial intermixing on spin-orbit torque in Co/Pt bilayers

G. G. Baez Flores et al.

Summary: In this study, the influence of interfacial intermixing on spin-orbit torque in Co/Pt bilayers was investigated using the first-principles nonequilibrium Green's function technique. The results showed that intermixing moderately enhanced the dampinglike torque, while strongly enhancing the previously small fieldlike torque to a level comparable to the dampinglike torque. The enhancement of the fieldlike torque was attributed to the interface between Co and the intermixed region.

PHYSICAL REVIEW B (2022)

Article Engineering, Electrical & Electronic

Computing-in-memory using voltage-controlled spin-orbit torque based MRAM array

Sonal Shreya et al.

Summary: The study focuses on the application and performance analysis of Voltage-Controlled SOTM (V-SOTM) in logic operations for Computing-in-Memory (CiM). V-SOTM shows significant improvements in logic power and data transfer energy compared to other technologies, indicating its potential prospects in CiM. This research sheds light on the efficiency and effectiveness of V-SOTM in the field of computing.

MICROELECTRONICS JOURNAL (2021)

Article Engineering, Electrical & Electronic

High-Performance Computing-in-Memory Architecture Using STT-/SOT-Based Series Triple-Level Cell MRAM

Vikas Nehra et al.

Summary: In this article, a triple-level cell (sTLC) architecture based on STT and SOT switching mechanisms is proposed for MRAM design, allowing storage of 3 bits of data with a maximum of two writing steps. Simulation results show significant energy savings compared to previous structures, with fast reading and computing-in-memory (CiM) capabilities. The sTLC-based CiM MFA design shows superior performance with reduced transistor counts and equivalent energy efficiency compared to existing SHE-based designs.

IEEE TRANSACTIONS ON MAGNETICS (2021)

Article Computer Science, Hardware & Architecture

Accelerated Addition in Resistive RAM Array Using Parallel-Friendly Majority Gates

John Reuben et al.

Summary: Traditional logic gates in computers are constructed using transistors, but this study proposes a method to implement majority gates and NOT gates in ReRAM memory, forming a complete Boolean logic capable of implementing any digital logic. By exploiting the proposed majority gate and the structure of the memory array, parallel-prefix adders can be implemented in memory, significantly reducing the latency of addition.

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (2021)

Article Engineering, Electrical & Electronic

In-Memory Low-Cost Bit-Serial Addition Using Commodity DRAM Technology

Mustafa E. Ali et al.

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS (2020)

Article Computer Science, Hardware & Architecture

MRIMA: An MRAM-Based In-Memory Accelerator

Shaahin Angizi et al.

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS (2020)

Article Engineering, Electrical & Electronic

Computing-in-Memory Architecture Using Energy-Efficient Multilevel Voltage-Controlled Spin-Orbit Torque Device

Sonal Shreya et al.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2020)

Article Physics, Applied

Spin-Based Fully Nonvolatile Full-Adder Circuit for Computing in Memory

Abdolah Amirany et al.

Article Engineering, Electrical & Electronic

Spintronic Processing Unit in Spin Transfer Torque Magnetic Random Access Memory

He Zhang et al.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2019)

Article Engineering, Electrical & Electronic

Spintronic Processing Unit Within Voltage-Gated Spin Hall Effect MRAMs

He Zhang et al.

IEEE TRANSACTIONS ON NANOTECHNOLOGY (2019)

Article Engineering, Electrical & Electronic

Evaluation of Ultrahigh-Speed Magnetic Memories Using Field-Free Spin-Orbit Torque

Zhaohao Wang et al.

IEEE TRANSACTIONS ON MAGNETICS (2018)

Article Engineering, Electrical & Electronic

Majority-Based Spin-CMOS Primitives for Approximate Computing

Shaahin Angizi et al.

IEEE TRANSACTIONS ON NANOTECHNOLOGY (2018)

Proceedings Paper Computer Science, Hardware & Architecture

Accelerating Low Bit-Width Deep Convolution Neural Network in MRAM

Zhezhi He et al.

2018 IEEE COMPUTER SOCIETY ANNUAL SYMPOSIUM ON VLSI (ISVLSI) (2018)

Proceedings Paper Engineering, Electrical & Electronic

Progresses and challenges of spin orbit torque driven magnetization switching and application (Invited)

Zhaohao Wang et al.

2018 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS) (2018)

Article Computer Science, Hardware & Architecture

Voltage-Based Concatenatable Full Adder Using Spin Hall Effect Switching

Arman Roohi et al.

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS (2017)

Proceedings Paper Computer Science, Hardware & Architecture

Compute Caches

Shaizeen Aga et al.

2017 23RD IEEE INTERNATIONAL SYMPOSIUM ON HIGH PERFORMANCE COMPUTER ARCHITECTURE (HPCA) (2017)

Article Computer Science, Hardware & Architecture

Temperature Impact Analysis and Access Reliability Enhancement for 1T1MTJ STT-RAM

Bi Wu et al.

IEEE TRANSACTIONS ON RELIABILITY (2016)

Article Physics, Applied

Perpendicular-anisotropy magnetic tunnel junction switched by spin-Hall-assisted spin-transfer torque

Zhaohao Wang et al.

JOURNAL OF PHYSICS D-APPLIED PHYSICS (2015)

Proceedings Paper Computer Science, Theory & Methods

Compile-Time Silent-Store Elimination for Energy Efficiency: an Analytic Evaluation for Non-Volatile Cache Memory

Rabab Bouziane et al.

PROCEEDINGS OF THE RAPIDO'18 WORKSHOP HIPEAC'18 CONFERENCE (2015)

Article Physics, Applied

Energy-delay performance of giant spin Hall effect switching for dense magnetic memory

Sasikanth Manipatruni et al.

APPLIED PHYSICS EXPRESS (2014)

Article Multidisciplinary Sciences

Spin-Torque Switching with the Giant Spin Hall Effect of Tantalum

Luqiao Liu et al.

SCIENCE (2012)

Article Engineering, Electrical & Electronic

High Speed, High Stability and Low Power Sensing Amplifier for MTJ/CMOS Hybrid Logic Circuits

Weisheng Zhao et al.

IEEE TRANSACTIONS ON MAGNETICS (2009)