4.6 Article

Effect of radial grooves pads on copper chemical mechanical polishing

Related references

Note: Only part of the references are listed.
Article Chemistry, Physical

A novel slurry for chemical mechanical polishing of single crystal diamond

Longxing Liao et al.

Summary: This study investigated the influence of CMP slurries with different pH values and oxidants on the quality of polished SCD surface, and found that the optimal slurry achieved the lowest surface roughness. The CMP mechanism was elucidated by XPS and IR spectroscopy, showing that hydroxyl radicals and hydrogen ions played a crucial role in achieving an ultra-smooth SCD surface.

APPLIED SURFACE SCIENCE (2021)

Article Engineering, Electrical & Electronic

A numerical study on slurry flow with CMP pad grooves

Seokjun Hong et al.

MICROELECTRONIC ENGINEERING (2020)

Article Chemistry, Multidisciplinary

Green chemical mechanical polishing of sapphire wafers using a novel slurry

Wenxiang Xie et al.

NANOSCALE (2020)

Article Engineering, Electrical & Electronic

Effect of pad groove width on slurry mean residence time and slurry utilization efficiency in CMP

Yan Mu et al.

MICROELECTRONIC ENGINEERING (2016)

Article Automation & Control Systems

Modeling and simulation for the distribution of slurry particles in chemical mechanical polishing

N. Y. Nguyen et al.

INTERNATIONAL JOURNAL OF ADVANCED MANUFACTURING TECHNOLOGY (2014)

Article Electrochemistry

Generation of Pad Debris during Oxide CMP Process and Its Role in Scratch Formation

Y. Nagendra Prasad et al.

JOURNAL OF THE ELECTROCHEMICAL SOCIETY (2011)

Article Electrochemistry

In Situ Investigation of Slurry Flow Fields during CMP

N. Mueller et al.

JOURNAL OF THE ELECTROCHEMICAL SOCIETY (2009)

Article Electrochemistry

Effect of slurry injection position on slurry mixing, friction, removal rate, and temperature in copper CMP

Y Sampurno et al.

JOURNAL OF THE ELECTROCHEMICAL SOCIETY (2005)

Article Engineering, Manufacturing

Effects of abrasive size distribution in chemical mechanical planarization: Modeling and verification

JF Luo et al.

IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING (2003)

Article Materials Science, Multidisciplinary

Advances in characterization of CMP consumables

M Moinpour et al.

MRS BULLETIN (2002)

Article Engineering, Manufacturing

Material removal mechanism in chemical mechanical polishing: Theory and modeling

JF Luo et al.

IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING (2001)

Article Electrochemistry

Investigating slurry transport beneath a wafer during chemical mechanical polishing processes

J Coppeta et al.

JOURNAL OF THE ELECTROCHEMICAL SOCIETY (2000)