4.2 Article

Energy-Efficient approximate compressor design for error-resilient digital signal processing

Related references

Note: Only part of the references are listed.
Article Engineering, Electrical & Electronic

Design of Ultra-Low Power Consumption Approximate 4-2 Compressors Based on the Compensation Characteristic

Haoran Pei et al.

Summary: Approximate computing is used in digital signal processing applications, with novel approximate 4-2 compressors proposed for improving power and area efficiency. The proposed compressors UCAC1, UCAC2, UCAC3 show significant reductions in delay, power, and area compared to exact compressors, and lead to a 49.29% average reduction in power consumption when used in 8-bit multipliers.

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS (2021)

Article Engineering, Electrical & Electronic

Ultra-Compact Imprecise 4:2 Compressor and Multiplier Circuits for Approximate Computing in Deep Nanoscale

Ferdos Salmanpour et al.

Summary: This article introduces a hybrid approximate 4:2 compressor and an imprecise multiplier with high speed and low power consumption, achieving an excellent trade-off between energy efficiency and quality. Simulation results demonstrate the superiority of this approach in various performance parameters compared to state-of-the-art counterparts. The proposed designs significantly improve delay, power, PDP, EDP, and transistor count compared to other compressors and approximate multipliers.

CIRCUITS SYSTEMS AND SIGNAL PROCESSING (2021)

Article Computer Science, Hardware & Architecture

A novel majority based imprecise 4:2 compressor with respect to the current and future VLSI industry

MohammadReza Taheri et al.

MICROPROCESSORS AND MICROSYSTEMS (2020)

Article Engineering, Electrical & Electronic

Comparison and Extension of Approximate 4-2 Compressors for Low-Power Approximate Multipliers

Antonio Giuseppe Maria Strollo et al.

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS (2020)

Article Computer Science, Hardware & Architecture

Small Constant Mean-Error Imprecise Adder/Multiplier for Efficient VLSI Implementation of MAC-Based Applications

Mohammad Haji Seyed Javadi et al.

IEEE TRANSACTIONS ON COMPUTERS (2020)

Article Engineering, Electrical & Electronic

Approximate Arithmetic Circuits: A Survey, Characterization, and Recent Applications

Honglan Jiang et al.

PROCEEDINGS OF THE IEEE (2020)

Article Computer Science, Information Systems

FPGA-Based Multi-Level Approximate Multipliers for High-Performance Error-Resilient Applications

Nguyen Van Toan et al.

IEEE ACCESS (2020)

Article Physics, Applied

Spin-Based Imprecise 4-2 Compressor for Energy-Efficient Multipliers

MohammadReza Taheri et al.

Article Engineering, Electrical & Electronic

Design and analysis of multiplier using approximate 4-2 compressor

Karri Manikantta Reddy et al.

AEU-INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATIONS (2019)

Article Engineering, Electrical & Electronic

A Majority-Based Imprecise Multiplier for Ultra-Efficient Approximate Image Multiplication

Farnaz Sabetzadeh et al.

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS (2019)

Article Engineering, Electrical & Electronic

An efficient majority-based compressor for approximate computing in the nano era

Mohammad Hossein Moaiyeri et al.

MICROSYSTEM TECHNOLOGIES-MICRO-AND NANOSYSTEMS-INFORMATION STORAGE AND PROCESSING SYSTEMS (2018)

Article Computer Science, Hardware & Architecture

An energy and area efficient 4:2 compressor based on FinFETs

Armineh Arasteh et al.

INTEGRATION-THE VLSI JOURNAL (2018)

Proceedings Paper Engineering, Electrical & Electronic

Design of Majority Logic (ML) Based Approximate Full Adders

Tingting Zhang et al.

2018 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS) (2018)

Article Computer Science, Hardware & Architecture

Majority Logic Formulations for Parallel Adder Designs at Reduced Delay and Circuit Complexity

Vikramkumar Pudi et al.

IEEE TRANSACTIONS ON COMPUTERS (2017)

Article Computer Science, Hardware & Architecture

Design of Power and Area Efficient Approximate Multipliers

Suganthi Venkatachalam et al.

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (2017)

Article Computer Science, Hardware & Architecture

Dual-Quality 4:2 Compressors for Utilizing in Dynamic Accuracy Configurable Multipliers

Omid Akbari et al.

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (2017)

Article Computer Science, Hardware & Architecture

Design and Analysis of Approximate Compressors for Multiplication

Amir Momeni et al.

IEEE TRANSACTIONS ON COMPUTERS (2015)

Article Computer Science, Information Systems

Impact of Write Pulse and Process Variation on 22 nm FinFET-Based STT-RAM Design: A Device-Architecture Co-Optimization Approach

Cong Xu et al.

IEEE TRANSACTIONS ON MULTI-SCALE COMPUTING SYSTEMS (2015)

Article Computer Science, Hardware & Architecture

Redesigned CMOS (4;2) compressor for fast binary multipliers

Abdoreza Pishvaie et al.

CANADIAN JOURNAL OF ELECTRICAL AND COMPUTER ENGINEERING-REVUE CANADIENNE DE GENIE ELECTRIQUE ET INFORMATIQUE (2013)

Article Computer Science, Hardware & Architecture

Improved CMOS (4;2) compressor designs for parallel multipliers

Abdoreza Pishvaie et al.

COMPUTERS & ELECTRICAL ENGINEERING (2012)

Article Computer Science, Artificial Intelligence

Image quality assessment: From error visibility to structural similarity

Z Wang et al.

IEEE TRANSACTIONS ON IMAGE PROCESSING (2004)

Article Engineering, Electrical & Electronic

Ultra low-voltage low-power CMOS 4-2 and 5-2 compressors for fast arithmetic circuits

CH Chang et al.

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS (2004)