4.4 Article

Technological Exploration of RRAM Crossbar Array for Matrix-Vector Multiplication

Related references

Note: Only part of the references are listed.
Article Computer Science, Hardware & Architecture

RRAM-Based Analog Approximate Computing

Boxun Li et al.

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS (2015)

Article Engineering, Electrical & Electronic

Investigation of process parameter variation in the memristor based resistive random access memory (RRAM): Effect of device size variations

T. D. Dongale et al.

MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING (2015)

Article Engineering, Electrical & Electronic

Causes and consequences of the stochastic aspect of filamentary RRAM

R. Degraeve et al.

MICROELECTRONIC ENGINEERING (2015)

Article Computer Science, Artificial Intelligence

Memristor Crossbar-Based Neuromorphic Computing System: A Case Study

Miao Hu et al.

IEEE TRANSACTIONS ON NEURAL NETWORKS AND LEARNING SYSTEMS (2014)

Article Engineering, Electrical & Electronic

A Model for the Set Statistics of RRAM Inspired in the Percolation Model of Oxide Breakdown

Shibing Long et al.

IEEE ELECTRON DEVICE LETTERS (2013)

Article Engineering, Electrical & Electronic

Leakage Current-Forming Voltage Relation and Oxygen Gettering in HfOx RRAM Devices

Kristina G. Young-Fisher et al.

IEEE ELECTRON DEVICE LETTERS (2013)

Article Engineering, Electrical & Electronic

RRAM Crossbar Array With Cell Selection Device: A Device and Circuit Interaction Study

Yexin Deng et al.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2013)

Article Engineering, Electrical & Electronic

Sneak-Path Testing of Crossbar-Based Nonvolatile Random Access Memories

Sachhidh Kannan et al.

IEEE TRANSACTIONS ON NANOTECHNOLOGY (2013)

Article Nanoscience & Nanotechnology

Self-compliance-improved resistive switching using Ir/TaOx/W cross-point memory

Amit Prakash et al.

NANOSCALE RESEARCH LETTERS (2013)

Review Neurosciences

STDP and STDP variations with memristors for spiking neuromorphic learning systems

T. Serrano-Gotarredona et al.

FRONTIERS IN NEUROSCIENCE (2013)

Article Engineering, Electrical & Electronic

A SPICE Compact Model of Metal Oxide Resistive Switching Memory With Variations

Ximeng Guan et al.

IEEE ELECTRON DEVICE LETTERS (2012)

Article Engineering, Electrical & Electronic

On the Switching Parameter Variation of Metal-Oxide RRAM-Part I: Physical Modeling and Simulation Methodology

Ximeng Guan et al.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2012)

Article Chemistry, Multidisciplinary

Short-Term Memory to Long-Term Memory Transition in a Nanoscale Memristor

Ting Chang et al.

ACS NANO (2011)

Article Engineering, Electrical & Electronic

HfOx/TiOx/HfOx/TiOx Multilayer-Based Forming-Free RRAM Devices With Excellent Uniformity

Z. Fang et al.

IEEE ELECTRON DEVICE LETTERS (2011)

Article Engineering, Electrical & Electronic

Resistive switching characteristics of CMOS embedded HfO2-based 1T1R cells

D. Walczyk et al.

MICROELECTRONIC ENGINEERING (2011)

Article Computer Science, Theory & Methods

Optimization of sparse matrix-vector multiplication on emerging multicore platforms

Samuel Williams et al.

PARALLEL COMPUTING (2009)

Article Engineering, Manufacturing

Modeling of interconnect capacitance, delay, and crosstalk in VLSI

SC Wong et al.

IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING (2000)