4.8 Article

Ultrathin ferroic HfO2-ZrO2 superlattice gate stack for advanced transistors

Journal

NATURE
Volume 604, Issue 7904, Pages 65-+

Publisher

NATURE PORTFOLIO
DOI: 10.1038/s41586-022-04425-6

Keywords

-

Funding

  1. Berkeley Center for Negative Capacitance Transistors (BCNCT)
  2. DARPA Technologies for Mixed-mode Ultra Scaled Integrated Circuits (T-MUSIC) programme
  3. University of California Multicampus Research Programs and Initiatives (UC MRPI) project
  4. US Department of Energy, Office of Science, Office of Basic Energy Sciences, Materials Sciences and Engineering Division [DE-AC02-05-CH11231]
  5. US DOE Office of Science, Basic Energy Sciences [DE-AC02-06CH11357]
  6. US Department of Energy, Office of Science, Basic Energy Sciences [DE-SC-0012375]
  7. US Department of Energy, Office of Science, Office of Basic Energy Sciences [DE-AC02-76SF00515]
  8. DOE Office of Science User Facility [DE-AC02-05CH11231]
  9. Office of Science, Office of Basic Energy Sciences, US Department of Energy [DE-AC02-05CH11231]
  10. Secretary of Defense for Research and Engineering under Air Force [FA8702-15-D-0001]

Ask authors/readers for more resources

This study reports a new method utilizing HfO2-ZrO2 superlattice heterostructures as gate stacks, which does not require scavenging the interfacial SiO2 and can provide lower leakage current and no mobility degradation.
With the scaling of lateral dimensions in advanced transistors, an increased gate capacitance is desirable both to retain the control of the gate electrode over the channel and to reduce the operating voltage'. This led to a fundamental change in the gate stack in 2008, the incorporation of high-dielectric-constant HfO2 (ref. 2 ), which remains the material of choice to date. Here we report HfO2-ZrO2 superlattice heterostructures as a gate stack, stabilized with mixed ferroelectric-antiferroelectric order, directly integrated onto Si transistors, and scaled down to approximately 20 angstroms, the same gate oxide thickness required for high-performance transistors. The overall equivalent oxide thickness in metal-oxide-semiconductor capacitors is equivalent to an effective SiO2 thickness of approximately 6.5 angstroms. Such a low effective oxide thickness and the resulting large capacitance cannot be achieved in conventional HfO2-based high-dielectric-constant gate stacks without scavenging the interfacial SiO2, which has adverse effects on the electron transport and gate leakage current'. Accordingly, our gate stacks, which do not require such scavenging, provide substantially lower leakage current and no mobility degradation. This work demonstrates that ultrathin ferroic HfO2-ZrO2 multilayers, stabilized with competing ferroelectric-antiferroelectric order in the two-nanometre-thickness regime, provide a path towards advanced gate oxide stacks in electronic devices beyond conventional HfO2-based high-dielectric-constant materials.

Authors

I am an author on this paper
Click your name to claim this paper and add it to your profile.

Reviews

Primary Rating

4.8
Not enough ratings

Secondary Ratings

Novelty
-
Significance
-
Scientific rigor
-
Rate this paper

Recommended

No Data Available
No Data Available