4.6 Article

Hardware Architectures for Real-Time Medical Imaging

Journal

ELECTRONICS
Volume 10, Issue 24, Pages -

Publisher

MDPI
DOI: 10.3390/electronics10243118

Keywords

biomedical imaging systems; hardware acceleration; medical imaging; medical image analysis; parallel architectures

Funding

  1. Young Researchers R&D Project Ref M2166 [M2166 (MIMC3-PET/MR)]
  2. MIMC3-PET/MR
  3. Rey Juan Carlos University [M2421]
  4. RETOS-COLABORACION [RTC-2017-6218-1]
  5. Ministry of Science, Innovation and Universities
  6. European Regional Development Fund
  7. Comunidad de Madrid and European Structural Funds [Y2018/EMT-5062]
  8. Spanish government
  9. Ministerio de Ciencia, Innovacion y Universidades (MICCIN/FEDER) [RTI2018-098743-B-I00]
  10. [MIMC3-PET/MR]

Ask authors/readers for more resources

Medical imaging is an essential part of diagnosis and treatment, requiring accurate and real-time processing of large amounts of imaging data. Hardware acceleration techniques play a crucial role in accelerating implementations for medical imaging researchers and developers.
Medical imaging is considered one of the most important advances in the history of medicine and has become an essential part of the diagnosis and treatment of patients. Earlier prediction and treatment have been driving the acquisition of higher image resolutions as well as the fusion of different modalities, raising the need for sophisticated hardware and software systems for medical image registration, storage, analysis, and processing. In this scenario and given the new clinical pipelines and the huge clinical burden of hospitals, these systems are often required to provide both highly accurate and real-time processing of large amounts of imaging data. Additionally, lowering the prices of each part of imaging equipment, as well as its development and implementation, and increasing their lifespan is crucial to minimize the cost and lead to more accessible healthcare. This paper focuses on the evolution and the application of different hardware architectures (namely, CPU, GPU, DSP, FPGA, and ASIC) in medical imaging through various specific examples and discussing different options depending on the specific application. The main purpose is to provide a general introduction to hardware acceleration techniques for medical imaging researchers and developers who need to accelerate their implementations.

Authors

I am an author on this paper
Click your name to claim this paper and add it to your profile.

Reviews

Primary Rating

4.6
Not enough ratings

Secondary Ratings

Novelty
-
Significance
-
Scientific rigor
-
Rate this paper

Recommended

No Data Available
No Data Available