4.4 Article

Static and dynamic interaction between polyvinyl acetal brushes and flat surfaces-Measuring near-surface brush volume ratio and nodule volume change for moving brushes

Related references

Note: Only part of the references are listed.
Article Materials Science, Multidisciplinary

Striking a balance: Role of supramolecular assemblies on the modulation of the chemical and mechanical contributions during Post-STI CMP cleaning

Carolyn F. Graverson et al.

Summary: Understanding the chemical and mechanical components of supramolecular cleaning chemistries is essential for reducing defects in advanced technologies; macroscopic cleaning performance can be correlated to critical non-covalent interactions at the molecular level, providing insight into optimal cleaning processes. Different shapes of supramolecular structures affect particle removal and scratch induction, and a balanced combination of chemical and mechanical attributes can achieve optimal cleaning performance with reduced shear force.

MATERIALS CHEMISTRY AND PHYSICS (2021)

Article Chemistry, Physical

Investigation of the effect of different cleaning forces on Ce-O-Si bonding during oxide post-CMP cleaning

Samrina Sahir et al.

Summary: The research found that the removal of ceria particles is more difficult under certain pH conditions, and only specific chemical cleaning methods are effective in removing ceria particles.

APPLIED SURFACE SCIENCE (2021)

Article Materials Science, Multidisciplinary

Real-Time Visualization of the Cleaning of Ceria Particles from Silicon Dioxide Films Using PVA Brush Scrubbing

C. K. Ranaweera et al.

Summary: The study on brush cleaning process showed that direct contact is crucial for particle removal, while redeposition can impact the cleaning efficiency. Using high alkaline NH4OH solution was more effective in removing ceria particles compared to DI water.

ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY (2021)

Article Polymer Science

Nodule Deformation on Cleaning of PVA Roller Brushes and its Relation to Cross-contamination

Atsuki Hosaka et al.

Summary: This study investigates nodule deformation and contact area during PVA roller-type brush scrubbing and finds that different brush contact types have a significant impact on the cross-contamination region.

JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY (2021)

Article Nanoscience & Nanotechnology

Inhibition of Silica Nanoparticle Adhesion to Poly(vinyl alcohol) Surfaces by Ammonia-Mediated Hydration: Implications for Effective Post-Chemical-Mechanical Planarization Cleaning

Takahiko Ikarashi et al.

Summary: This study investigated the influence of ammonia in the cleaning solution on the adhesion of silica nanoparticles to a PVA surface. The results showed that ammonia significantly inhibits silica nanoparticle adhesion to a PVA surface, and this effect involves steric repulsion in addition to electrostatic interactions.

ACS APPLIED NANO MATERIALS (2021)

Article Materials Science, Multidisciplinary

Mechanism Analysis of Nanoparticle Removal Induced by the Marangoni-driven Flow in Post-CMP Cleaning

Changkun Li et al.

ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY (2020)

Article Materials Science, Multidisciplinary

Experimental and Modelling Investigation of Re-Adhesion Mechanism of Detached Nanoparticles to Wafer Surface in Spin Rinse Process

N. Handa et al.

ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY (2020)

Article Materials Science, Characterization & Testing

Study on possible root causes of contamination from an incoming PVA brush during post-CMP cleaning

Jung-Hwan Lee et al.

POLYMER TESTING (2019)

Article Materials Science, Characterization & Testing

Ultrasound-induced break-in method for an incoming polyvinyl acetal (PVA) brush used during post-CMP cleaning process

Jung-Hwan Lee et al.

POLYMER TESTING (2019)

Article Materials Science, Multidisciplinary

Post-CMP Cleaning of InGaAs Surface for the Removal of Nanoparticle Contaminants for Sub-10nm Device Applications

In-Chan Choi et al.

ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY (2019)

Article Materials Science, Multidisciplinary

A Breakthrough Method for the Effective Conditioning of PVA Brush Used for Post-CMP Process

Jung-Hwan Lee et al.

ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY (2019)

Article Materials Science, Multidisciplinary

Environmental Effects on Post-CMP PVAc Brush Releasable Contamination and Break-In Optimization for Advanced Logic and Memory Technologies

Brad Withers et al.

ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY (2019)

Article Materials Science, Multidisciplinary

Measurement Method for Adhesion Forces between Polyvinyl Acetal Brushes and Flat Surfaces

Keishi Yamada et al.

ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY (2019)

Article Materials Science, Multidisciplinary

A Water Polishing Process to Improve Ceria Abrasive Removal

Seokjun Hong et al.

ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY (2019)

Article Materials Science, Multidisciplinary

Brush Deformation Effects on Poly Vinyl Acetal Brush Scrubbing

M. Ito et al.

ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY (2018)

Article Materials Science, Multidisciplinary

A New Approach to the Formation Mechanism of Tungsten Void Defect in Chemical Mechanical Polishing

Hong Jin Kim et al.

ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY (2018)

Article Chemistry, Physical

Measuring thin films using quantitative frustrated total internal reflection (FTIR)

Minori Shirota et al.

EUROPEAN PHYSICAL JOURNAL E (2017)

Article Engineering, Electrical & Electronic

Study of the cross contamination effect on post CMP in situ cleaning process

Hong Jin Kim et al.

MICROELECTRONIC ENGINEERING (2015)

Article Materials Science, Multidisciplinary

Effect of Surface Wettability on Frictional Conditions during Scrubbing Using Polyvinyl Acetal Brush

Y. Hara et al.

ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY (2015)

Article Engineering, Multidisciplinary

Probing particle removal in brush scrubber cleaning with fluorescence technique

Huang YaTing et al.

SCIENCE CHINA-TECHNOLOGICAL SCIENCES (2013)

Article Engineering, Electrical & Electronic

Investigation of eccentric PVA brush behaviors in post-Cu CMP cleaning

T. Sun et al.

MICROELECTRONIC ENGINEERING (2012)

Article Chemistry, Physical

Mechanisms for nano particle removal in brush scrubber cleaning

Yating Huang et al.

APPLIED SURFACE SCIENCE (2011)

Article Electrochemistry

Particle Generation on Hydrogen-Terminated Si Surface by Brush Scrubbing of Polyvinyl Alcohol

Nobuyoshi Sato et al.

JOURNAL OF THE ELECTROCHEMICAL SOCIETY (2011)

Article Engineering, Mechanical

Modeling of particle removal processes in brush scrubber cleaning

Yating Huang et al.

Article Engineering, Mechanical

Comparison of interfacial forces during post-chemical-mechanical-polishing cleaning

Dedy Ng et al.

JOURNAL OF TRIBOLOGY-TRANSACTIONS OF THE ASME (2008)

Article Electrochemistry

Nanoparticle removal mechanisms during Post-CMP cleaning

D. Ng et al.

ELECTROCHEMICAL AND SOLID STATE LETTERS (2007)

Article Electrochemistry

Interfacial and electrokinetic characterization of IPA solutions related to semiconductor wafer drying and cleaning

Jin-Goo Park et al.

JOURNAL OF THE ELECTROCHEMICAL SOCIETY (2006)

Article Materials Science, Multidisciplinary

Hydrodynamic particle removal from surfaces

GM Burdick et al.

THIN SOLID FILMS (2005)

Article Engineering, Electrical & Electronic

Fundamental study of the removal mechanisms of nano-sized particles using brush scrubber cleaning

K Xu et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B (2005)

Article Engineering, Electrical & Electronic

Particle adhesion and removal mechanisms during brush scrubber cleaning

K Xu et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B (2004)

Article Electrochemistry

A theoretical evaluation of hydrodynamic and brush contact effects on particle removal during brush scrubbing

GM Burdick et al.

JOURNAL OF THE ELECTROCHEMICAL SOCIETY (2003)

Article Electrochemistry

A theoretical analysis of brush scrubbing following chemical mechanical polishing

GM Burdick et al.

JOURNAL OF THE ELECTROCHEMICAL SOCIETY (2003)

Article Engineering, Manufacturing

Particle adhesion and removal mechanisms in post-CMP cleaning processes

AA Busnaina et al.

IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING (2002)