4.6 Article

Self-Controlled Cleaving Method for Silicon DRIE Process Cross-Section Characterization

Journal

MICROMACHINES
Volume 12, Issue 5, Pages -

Publisher

MDPI
DOI: 10.3390/mi12050534

Keywords

Bosch process; DRIE of Silicon; MEMS; cross-section cleaving

Funding

  1. [74300]

Ask authors/readers for more resources

A highly controllable cross-section cleaving method is proposed to minimize the impact on the resulting deep etching profile. Experimental comparison of two cleaving methods based on different auxiliary microstructures is conducted to analyze the interaction between the auxiliary lines and the etching process.
Advanced microsystems widely used in integrated optoelectronic devices, energy harvesting components, and microfluidic lab-on-chips require high-aspect silicon microstructures with a precisely controlled profile. Such microstructures can be fabricated using the Bosch process, which is a key process for the mass production of micro-electro-mechanical systems (MEMS) devices. One can measure the etching profile at a cross-section to characterize the Bosch process quality by cleaving the substrate into two pieces. However, the cleaving process of several neighboring deeply etched microstructures is a very challenging and uncontrollable task. The cleaving method affects both the cleaving efficiency and the metrology quality of the resulting etched microstructures. The standard cleaving technique using a diamond scriber does not solve this issue. Herein, we suggest a highly controllable cross-section cleaving method, which minimizes the effect on the resulting deep etching profile. We experimentally compare two cleaving methods based on various auxiliary microstructures: (1) etched transverse auxiliary lines of various widths (from 5 to 100 mu m) and positions; and (2) etched dashed auxiliary lines. The interplay between the auxiliary lines and the etching process is analyzed for dense periodic and isolated trenches sized from 2 to 50 mu m with an aspect ratio of more than 10. We experimentally showed that an incorrect choice of auxiliary line parameters leads to silicon build-up defects at target microstructures intersections, which significantly affects the cross-section profile metrology. Finally, we suggest a highly controllable defect-free cross-section cleaving method utilizing dashed auxiliary lines with the stress concentrators.

Authors

I am an author on this paper
Click your name to claim this paper and add it to your profile.

Reviews

Primary Rating

4.6
Not enough ratings

Secondary Ratings

Novelty
-
Significance
-
Scientific rigor
-
Rate this paper

Recommended

No Data Available
No Data Available