4.6 Review

Progresses in Synthesis and Application of SiC Films: From CVD to ALD and from MEMS to NEMS

Journal

MICROMACHINES
Volume 11, Issue 9, Pages -

Publisher

MDPI
DOI: 10.3390/mi11090799

Keywords

silicon carbide; chemical vapor deposition; atomic layer deposition; microelectromechanical systems; nanoelectromechanical systems

Funding

  1. CNPq [421317/2018-3, 437921/2018-2, 303818/2018-2]
  2. FAPESP [2014/18139-8, 2018/01265-1]

Ask authors/readers for more resources

A search of the recent literature reveals that there is a continuous growth of scientific publications on the development of chemical vapor deposition (CVD) processes for silicon carbide (SiC) films and their promising applications in micro- and nanoelectromechanical systems (MEMS/NEMS) devices. In recent years, considerable effort has been devoted to deposit high-quality SiC films on large areas enabling the low-cost fabrication methods of MEMS/NEMS sensors. The relatively high temperatures involved in CVD SiC growth are a drawback and studies have been made to develop low-temperature CVD processes. In this respect, atomic layer deposition (ALD), a modified CVD process promising for nanotechnology fabrication techniques, has attracted attention due to the deposition of thin films at low temperatures and additional benefits, such as excellent uniformity, conformability, good reproducibility, large area, and batch capability. This review article focuses on the recent advances in the strategies for the CVD of SiC films, with a special emphasis on low-temperature processes, as well as ALD. In addition, we summarize the applications of CVD SiC films in MEMS/NEMS devices and prospects for advancement of the CVD SiC technology.

Authors

I am an author on this paper
Click your name to claim this paper and add it to your profile.

Reviews

Primary Rating

4.6
Not enough ratings

Secondary Ratings

Novelty
-
Significance
-
Scientific rigor
-
Rate this paper

Recommended

No Data Available
No Data Available