4.8 Article

Vapor-deposited zeolitic imidazolate frameworks as gap-filling ultra-low-k dielectrics

Journal

NATURE COMMUNICATIONS
Volume 10, Issue -, Pages -

Publisher

NATURE PORTFOLIO
DOI: 10.1038/s41467-019-11703-x

Keywords

-

Funding

  1. European Research Council [716472]
  2. Research Foundation Flanders (FWO) [G083016N, 1501618N, G0H0716N]
  3. European Research Council (ERC) [716472] Funding Source: European Research Council (ERC)

Ask authors/readers for more resources

The performance of modern chips is strongly related to the multi-layer interconnect structure that interfaces the semiconductor layer with the outside world. The resulting demand to continuously reduce the k-value of the dielectric in these interconnects creates multiple integration challenges and encourages the search for novel materials. Here we report a strategy for the integration of metal-organic frameworks (MOFs) as gap-filling low-k dielectrics in advanced on-chip interconnects. The method relies on the selective conversion of purpose-grown or native metal-oxide films on the metal interconnect lines into MOFs by exposure to organic linker vapor. The proposed strategy is validated for thin films of the zeolitic imidazolate frameworks ZIF-8 and ZIF-67, formed in 2-methylimidazole vapor from ALD ZnO and native CoOx, respectively. Both materials show a Young's modulus and dielectric constant comparable to state-of-the-art porous organosilica dielectrics. Moreover, the fast nucleation and volume expansion accompanying the oxide-to-MOF conversion enable uniform growth and gap-filling of narrow trenches, as demonstrated for 45 nm half-pitch fork-fork capacitors.

Authors

I am an author on this paper
Click your name to claim this paper and add it to your profile.

Reviews

Primary Rating

4.8
Not enough ratings

Secondary Ratings

Novelty
-
Significance
-
Scientific rigor
-
Rate this paper

Recommended

No Data Available
No Data Available