4.2 Article

Fault-Tolerant Unicast-Based Multicast for Reliable Network-on-Chip Testing

Publisher

ASSOC COMPUTING MACHINERY
DOI: 10.1145/3243214

Keywords

Fault-tolerant routing; on-chip networks; router testing; core testing; unicast-based multicast

Funding

  1. National Science Foundation of China [61373021, 61774097]
  2. Ministry of Science and Technology [2016YFB1000200]

Ask authors/readers for more resources

We present a unified test technique that targets faults in links, routers, and cores of a network-on-chip design based on test sessions. We call an entire procedure, that delivers test packets to the subset of routers/cores, a test session. Test delivery for router/core testing is formulated as two fault-tolerant multicast algorithms. Test packet delivery for routers is implemented as a fault-tolerant unicast-based multicast scheme via the fault-free links and routers that were identified in the previous test sessions to avoid packet corruption. A new fault-tolerant routing algorithm is also proposed for the unicast-based multicast core test delivery in the whole network. Identical cores share the same test set, and they are tested within the same test session. Simulation results highlight the effectiveness of the proposed method in reducing test time.

Authors

I am an author on this paper
Click your name to claim this paper and add it to your profile.

Reviews

Primary Rating

4.2
Not enough ratings

Secondary Ratings

Novelty
-
Significance
-
Scientific rigor
-
Rate this paper

Recommended

No Data Available
No Data Available