Related references
Note: Only part of the references are listed.Porous pSiCOH Ultralow-kDielectrics for Chip Interconnects Prepared by PECVD
Alfred Grill
Annual Review of Materials Research (2009)
Structure-designable method to form super low-k SiOC film (k=2.2) by neutral-beam-enhanced chemical vapour deposition
Shigeo Yasuhara et al.
JOURNAL OF PHYSICS D-APPLIED PHYSICS (2009)
Adjusting the Skeleton and Pore Structure of Porous SiCOH Dielectrics
S. M. Gates et al.
JOURNAL OF THE ELECTROCHEMICAL SOCIETY (2009)
Ultralow dielectric constant pSiCOH films prepared with tetramethylcyclotetrasiloxane as skeleton precursor
A. Grill et al.
JOURNAL OF APPLIED PHYSICS (2008)
Interface engineering for high interfacial strength between SiCOH and porous SiCOH interconnect dielectrics and diffusion caps
A. Grill et al.
JOURNAL OF APPLIED PHYSICS (2008)
Property modifications of nanoporous pSiCOH dielectrics to enhance resistance to plasma-induced damage
E. Todd Ryan et al.
JOURNAL OF APPLIED PHYSICS (2008)
Superior mechanical properties of dense and porous organic/inorganic hybrid thin films
Geraud Dubois et al.
JOURNAL OF SOL-GEL SCIENCE AND TECHNOLOGY (2008)
Thermal stability study of pore sealing using parylene N
Ya Ou et al.
JOURNAL OF THE ELECTROCHEMICAL SOCIETY (2008)
Ultralow k films by using a plasma-enhanced chemical vapor deposition porogen approach:: Study of the precursor reaction mechanisms
A. Castex et al.
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A (2008)
Influence of NH3 plasma treatment on chemical bonding and water adsorption of low-k SiCOH film
Hao-Wen Guo et al.
MICROELECTRONIC ENGINEERING (2008)
Study of nano-mechanical properties for thin porous films through instrumented indentation: SiO2 low dielectric constant films as an example
M. Herrmann et al.
MICROELECTRONIC ENGINEERING (2008)
Overview of dual damascene integration schemes in Cu BEOL integration
J. Kriz et al.
MICROELECTRONIC ENGINEERING (2008)
Effect of pressure on efficiency of UV curing of CVD-derived low-k material at different wavelengths
L. Prager et al.
MICROELECTRONIC ENGINEERING (2008)
Porosity and structure evolution of a SIOCH low k material during post-etch cleaning process
L. Broussous et al.
MICROELECTRONIC ENGINEERING (2007)
A robust k ∼ 2.3 SiCOH low-k film formed by porogen removal with UV-cure
Nathan Kemeling et al.
MICROELECTRONIC ENGINEERING (2007)
Sidewall restoration of porous ultra low-k dielectrics for sub-45 nm technology nodes
H. Chaabouni et al.
MICROELECTRONIC ENGINEERING (2007)
Ultralow k using a plasma enhanced chemical vapor deposition porogen approach:: Matrix structure and porogen loading influences
Laurent Favennec et al.
JOURNAL OF APPLIED PHYSICS (2007)
Preparation and structure of porous dielectrics by plasma enhanced chemical vapor deposition
S. M. Gates et al.
JOURNAL OF APPLIED PHYSICS (2007)
Optimization and integration of trimethylsilane-based organosilicate glass and organofluorinated silicate glass dielectric thin films for Cu damascene process
Y. L. Cheng et al.
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B (2007)
Chemical structure effects of ring-type siloxane precursors on properties of plasma-polymerized porous SiOCH films
Munehiro Tada et al.
JOURNAL OF THE ELECTROCHEMICAL SOCIETY (2007)
Comparison between e-beam and ultraviolet curing to perform porous a-SiOC : H
V. Jousseaume et al.
JOURNAL OF THE ELECTROCHEMICAL SOCIETY (2007)
Removal of etching/ashing residues and ashing/wet-clean damage in porous silica low-k films
T. Yamanishi et al.
MICROELECTRONIC ENGINEERING (2006)
Characterization of the impact of plasma treatments and wet cleaning on a porous low k material
W. Puyrenier et al.
MICROELECTRONIC ENGINEERING (2006)
UV curing effects on mechanical and electrical performances of a PECVD non-porogen porous SiOC:H films (in k [2.2-2.4] range) for 45 nm node and below
L. L. Chapelon et al.
MICROELECTRONIC ENGINEERING (2006)
Plasma-enhanced-chemical-vapor-deposited ultralow k for a postintegration porogen removal approach -: art. no. 182908
V Jousseaume et al.
APPLIED PHYSICS LETTERS (2006)
Comparison of characteristics and integration of copper diffusion-barrier dielectrics
TC Wang et al.
THIN SOLID FILMS (2006)
A novel polycarbosilane-based low-k dielectric material
PI Wang et al.
JOURNAL OF THE ELECTROCHEMICAL SOCIETY (2006)
Octamethylcyclotetrasiloxane-based, low-permittivity organosilicate coatings - Composition, structure, and polarizability
Youbo Lin et al.
JOURNAL OF THE ELECTROCHEMICAL SOCIETY (2006)
The effect of plasma chemistry on the damage induced to porous SiCOH dielectrics
A. Grill et al.
JOURNAL OF THE ELECTROCHEMICAL SOCIETY (2006)
The restoration of porous methylsilsesquioxane (p-MSQ) films using trimethylhalosilanes dissolved in supercritical carbon dioxide
B Xie et al.
MICROELECTRONIC ENGINEERING (2005)
Dual damascene ash development for a VFTL of target k=2.0 integration
B White et al.
MICROELECTRONIC ENGINEERING (2005)
Impact of reducing resist stripping processes at elevated temperature on ULK and HM materials
F Blaschta et al.
MICROELECTRONIC ENGINEERING (2005)
Precursor chemistry for ULK CVD
V Rouessac et al.
MICROELECTRONIC ENGINEERING (2005)
Impact of patterning and ashing on electrical properties and reliability of interconnects in a porous SiOCH ultra low-k dielectric material
M Aimadeddine et al.
MICROELECTRONIC ENGINEERING (2005)
Deducing nanopore structure and growth mechanisms in porogen-templated silsesquioxane thin films
HG Peng et al.
APPLIED PHYSICS LETTERS (2005)
Detection of open or closed porosity in low-κ dielectrics by solvent diffusion
MA Worsley et al.
MICROELECTRONIC ENGINEERING (2005)
Hydrogen plasma effects on ultralow-k porous SiCOH dielectrics -: art. no. 074502
A Grill et al.
JOURNAL OF APPLIED PHYSICS (2005)
Manufacturable extremal low-dielectric, high-stiffness porous materials
S Torquato et al.
JOURNAL OF APPLIED PHYSICS (2005)
Thin-film transformations and volatile products in the formation of nanoporous low-k polymethylsilsesquioxane-based dielectric
P Lazzeri et al.
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B (2005)
Effect of plasma interactions with low-κ films as a function of porosity, plasma, chemistry, and temperature
MA Worsley et al.
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B (2005)
Removal of plasma-modified low-k layer using dilute HF: Influence of concentration
QT Le et al.
ELECTROCHEMICAL AND SOLID STATE LETTERS (2005)
Effects of properties and growth parameters of doped and undoped silicon oxide films on wear behavior during chemical mechanical planarization process
AK Sikder et al.
JOURNAL OF MATERIALS RESEARCH (2004)
Control of pore structures in periodic porous silica low-k films
N Hata et al.
JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS (2004)
Structural characterization of porous low-k thin films prepared by different techniques using x-ray porosimetry
HJ Lee et al.
JOURNAL OF APPLIED PHYSICS (2004)
Nanocomposite low-k SiCOH films by direct PECVD using vinyltrimethylsilane
SK Kwak et al.
JOURNAL OF THE ELECTROCHEMICAL SOCIETY (2004)
Porous organosilicates low-dielectric films for high-frequency devices
A Knoesen et al.
JOURNAL OF ELECTRONIC MATERIALS (2004)
Plasma sealing of a low-K dielectric polymer
AM Hoyas et al.
MICROELECTRONIC ENGINEERING (2004)
Etch and strip induced material modification of porous low-k (k=2.2) dielectric
Y Furukawa et al.
MICROELECTRONIC ENGINEERING (2004)
Damage minimized plasma pore sealing of microporous low k dielectrics
T Abell et al.
MICROELECTRONIC ENGINEERING (2004)
Moisture-driven crack growth in blanket low dielectric constant and ultralow dielectric constant films
EG Liniger et al.
JOURNAL OF APPLIED PHYSICS (2004)
Analytical representations of elastic moduli data with simultaneous dependence on temperature and porosity
RG Munro
JOURNAL OF RESEARCH OF THE NATIONAL INSTITUTE OF STANDARDS AND TECHNOLOGY (2004)
Temperature-resolved Fourier transform infrared study of condensation reactions and porogen decomposition in hybrid organosilicon-porogen films
DD Burkey et al.
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A (2004)
Molecular caulking - A pore sealing CVD polymer for ultralow k dielectrics
C Jezewski et al.
JOURNAL OF THE ELECTROCHEMICAL SOCIETY (2004)
Interaction of hydrogen plasma with extreme low-k SiCOH dielectrics
A Grill et al.
JOURNAL OF THE ELECTROCHEMICAL SOCIETY (2004)
Organosilicon thin films deposited from cyclic and acyclic precursors using water as an oxidant
DD Burkey et al.
JOURNAL OF THE ELECTROCHEMICAL SOCIETY (2004)
Environmental effects on cracking and delamination of dielectric films
MW Lane et al.
IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY (2004)
Silylation of porous methylsilsesquioxane films in supercritical carbon dioxide
B Xie et al.
MICROELECTRONIC ENGINEERING (2004)
SiO2 aerogel ultra low k dielectric patterning using different hard mask concepts and stripping processes
F Blaschta et al.
MICROELECTRONIC ENGINEERING (2004)
Porosity in plasma enhanced chemical vapor deposited SiCOH dielectrics: A comparative study
A Grill et al.
JOURNAL OF APPLIED PHYSICS (2003)
Plasma enhanced chemical vapor deposited SiCOH dielectrics:: from low-k to extreme low-k interconnect materials
A Grill
JOURNAL OF APPLIED PHYSICS (2003)
Material modification of the patterned wafer during dry etching and strip determined by XPS
Y Furukawa et al.
MICROELECTRONIC ENGINEERING (2003)
Chemically bonded porogens in methylsilsesquioxane - II. Electrical, optical, and mechanical properties
AM Padovani et al.
JOURNAL OF THE ELECTROCHEMICAL SOCIETY (2002)
Chemically bonded porogens in methylsilsesquioxane - I. Structure and bonding
AM Padovani et al.
JOURNAL OF THE ELECTROCHEMICAL SOCIETY (2002)
Comparative study of PECVD SiOCH low-k films obtained at different deposition conditions
D Shamiryan et al.
MICROELECTRONIC ENGINEERING (2002)
Non-destructive characterisation of porous low-k dielectric films
MR Baklanov et al.
MICROELECTRONIC ENGINEERING (2002)
Effect of material properties on integration damage in organosilicate glass films
ET Ryan et al.
JOURNAL OF MATERIALS RESEARCH (2001)
Ultralow-k dielectrics prepared by plasma-enhanced chemical vapor deposition
A Grill et al.
APPLIED PHYSICS LETTERS (2001)
Probing diffusion barrier integrity on porous silica low-k thin films using positron annihilation lifetime spectroscopy
JN Sun et al.
JOURNAL OF APPLIED PHYSICS (2001)
New solutions for intermetal dielectrics using trimethylsilane-based PECVD processes
MJ Loboda
MICROELECTRONIC ENGINEERING (2000)