4.6 Review

Porous Dielectrics in Microelectronic Wiring Applications

Related references

Note: Only part of the references are listed.
Article Materials Science, Multidisciplinary

Porous pSiCOH Ultralow-kDielectrics for Chip Interconnects Prepared by PECVD

Alfred Grill

Annual Review of Materials Research (2009)

Article Physics, Applied

Structure-designable method to form super low-k SiOC film (k=2.2) by neutral-beam-enhanced chemical vapour deposition

Shigeo Yasuhara et al.

JOURNAL OF PHYSICS D-APPLIED PHYSICS (2009)

Article Electrochemistry

Adjusting the Skeleton and Pore Structure of Porous SiCOH Dielectrics

S. M. Gates et al.

JOURNAL OF THE ELECTROCHEMICAL SOCIETY (2009)

Article Materials Science, Ceramics

Superior mechanical properties of dense and porous organic/inorganic hybrid thin films

Geraud Dubois et al.

JOURNAL OF SOL-GEL SCIENCE AND TECHNOLOGY (2008)

Article Electrochemistry

Thermal stability study of pore sealing using parylene N

Ya Ou et al.

JOURNAL OF THE ELECTROCHEMICAL SOCIETY (2008)

Article Materials Science, Coatings & Films

Ultralow k films by using a plasma-enhanced chemical vapor deposition porogen approach:: Study of the precursor reaction mechanisms

A. Castex et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A (2008)

Article Engineering, Electrical & Electronic

Influence of NH3 plasma treatment on chemical bonding and water adsorption of low-k SiCOH film

Hao-Wen Guo et al.

MICROELECTRONIC ENGINEERING (2008)

Article Engineering, Electrical & Electronic

Overview of dual damascene integration schemes in Cu BEOL integration

J. Kriz et al.

MICROELECTRONIC ENGINEERING (2008)

Article Engineering, Electrical & Electronic

Effect of pressure on efficiency of UV curing of CVD-derived low-k material at different wavelengths

L. Prager et al.

MICROELECTRONIC ENGINEERING (2008)

Article Engineering, Electrical & Electronic

Porosity and structure evolution of a SIOCH low k material during post-etch cleaning process

L. Broussous et al.

MICROELECTRONIC ENGINEERING (2007)

Article Engineering, Electrical & Electronic

A robust k ∼ 2.3 SiCOH low-k film formed by porogen removal with UV-cure

Nathan Kemeling et al.

MICROELECTRONIC ENGINEERING (2007)

Article Engineering, Electrical & Electronic

Sidewall restoration of porous ultra low-k dielectrics for sub-45 nm technology nodes

H. Chaabouni et al.

MICROELECTRONIC ENGINEERING (2007)

Article Physics, Applied

Preparation and structure of porous dielectrics by plasma enhanced chemical vapor deposition

S. M. Gates et al.

JOURNAL OF APPLIED PHYSICS (2007)

Article Electrochemistry

Chemical structure effects of ring-type siloxane precursors on properties of plasma-polymerized porous SiOCH films

Munehiro Tada et al.

JOURNAL OF THE ELECTROCHEMICAL SOCIETY (2007)

Article Electrochemistry

Comparison between e-beam and ultraviolet curing to perform porous a-SiOC : H

V. Jousseaume et al.

JOURNAL OF THE ELECTROCHEMICAL SOCIETY (2007)

Article Engineering, Electrical & Electronic

Removal of etching/ashing residues and ashing/wet-clean damage in porous silica low-k films

T. Yamanishi et al.

MICROELECTRONIC ENGINEERING (2006)

Article Engineering, Electrical & Electronic

Characterization of the impact of plasma treatments and wet cleaning on a porous low k material

W. Puyrenier et al.

MICROELECTRONIC ENGINEERING (2006)

Article Materials Science, Multidisciplinary

Comparison of characteristics and integration of copper diffusion-barrier dielectrics

TC Wang et al.

THIN SOLID FILMS (2006)

Article Electrochemistry

A novel polycarbosilane-based low-k dielectric material

PI Wang et al.

JOURNAL OF THE ELECTROCHEMICAL SOCIETY (2006)

Article Electrochemistry

The effect of plasma chemistry on the damage induced to porous SiCOH dielectrics

A. Grill et al.

JOURNAL OF THE ELECTROCHEMICAL SOCIETY (2006)

Article Engineering, Electrical & Electronic

Dual damascene ash development for a VFTL of target k=2.0 integration

B White et al.

MICROELECTRONIC ENGINEERING (2005)

Article Engineering, Electrical & Electronic

Impact of reducing resist stripping processes at elevated temperature on ULK and HM materials

F Blaschta et al.

MICROELECTRONIC ENGINEERING (2005)

Article Engineering, Electrical & Electronic

Precursor chemistry for ULK CVD

V Rouessac et al.

MICROELECTRONIC ENGINEERING (2005)

Article Engineering, Electrical & Electronic

Detection of open or closed porosity in low-κ dielectrics by solvent diffusion

MA Worsley et al.

MICROELECTRONIC ENGINEERING (2005)

Article Physics, Applied

Hydrogen plasma effects on ultralow-k porous SiCOH dielectrics -: art. no. 074502

A Grill et al.

JOURNAL OF APPLIED PHYSICS (2005)

Article Physics, Applied

Manufacturable extremal low-dielectric, high-stiffness porous materials

S Torquato et al.

JOURNAL OF APPLIED PHYSICS (2005)

Article Engineering, Electrical & Electronic

Thin-film transformations and volatile products in the formation of nanoporous low-k polymethylsilsesquioxane-based dielectric

P Lazzeri et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B (2005)

Article Engineering, Electrical & Electronic

Effect of plasma interactions with low-κ films as a function of porosity, plasma, chemistry, and temperature

MA Worsley et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B (2005)

Article Electrochemistry

Removal of plasma-modified low-k layer using dilute HF: Influence of concentration

QT Le et al.

ELECTROCHEMICAL AND SOLID STATE LETTERS (2005)

Article Physics, Applied

Control of pore structures in periodic porous silica low-k films

N Hata et al.

JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS (2004)

Article Electrochemistry

Nanocomposite low-k SiCOH films by direct PECVD using vinyltrimethylsilane

SK Kwak et al.

JOURNAL OF THE ELECTROCHEMICAL SOCIETY (2004)

Article Engineering, Electrical & Electronic

Porous organosilicates low-dielectric films for high-frequency devices

A Knoesen et al.

JOURNAL OF ELECTRONIC MATERIALS (2004)

Article Engineering, Electrical & Electronic

Plasma sealing of a low-K dielectric polymer

AM Hoyas et al.

MICROELECTRONIC ENGINEERING (2004)

Article Engineering, Electrical & Electronic

Etch and strip induced material modification of porous low-k (k=2.2) dielectric

Y Furukawa et al.

MICROELECTRONIC ENGINEERING (2004)

Article Engineering, Electrical & Electronic

Damage minimized plasma pore sealing of microporous low k dielectrics

T Abell et al.

MICROELECTRONIC ENGINEERING (2004)

Article Instruments & Instrumentation

Analytical representations of elastic moduli data with simultaneous dependence on temperature and porosity

RG Munro

JOURNAL OF RESEARCH OF THE NATIONAL INSTITUTE OF STANDARDS AND TECHNOLOGY (2004)

Article Materials Science, Coatings & Films

Temperature-resolved Fourier transform infrared study of condensation reactions and porogen decomposition in hybrid organosilicon-porogen films

DD Burkey et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A (2004)

Article Electrochemistry

Molecular caulking - A pore sealing CVD polymer for ultralow k dielectrics

C Jezewski et al.

JOURNAL OF THE ELECTROCHEMICAL SOCIETY (2004)

Article Electrochemistry

Interaction of hydrogen plasma with extreme low-k SiCOH dielectrics

A Grill et al.

JOURNAL OF THE ELECTROCHEMICAL SOCIETY (2004)

Article Electrochemistry

Organosilicon thin films deposited from cyclic and acyclic precursors using water as an oxidant

DD Burkey et al.

JOURNAL OF THE ELECTROCHEMICAL SOCIETY (2004)

Article Engineering, Electrical & Electronic

Environmental effects on cracking and delamination of dielectric films

MW Lane et al.

IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY (2004)

Article Engineering, Electrical & Electronic

Silylation of porous methylsilsesquioxane films in supercritical carbon dioxide

B Xie et al.

MICROELECTRONIC ENGINEERING (2004)

Article Engineering, Electrical & Electronic

SiO2 aerogel ultra low k dielectric patterning using different hard mask concepts and stripping processes

F Blaschta et al.

MICROELECTRONIC ENGINEERING (2004)

Article Physics, Applied

Porosity in plasma enhanced chemical vapor deposited SiCOH dielectrics: A comparative study

A Grill et al.

JOURNAL OF APPLIED PHYSICS (2003)

Article Engineering, Electrical & Electronic

Material modification of the patterned wafer during dry etching and strip determined by XPS

Y Furukawa et al.

MICROELECTRONIC ENGINEERING (2003)

Article Electrochemistry

Chemically bonded porogens in methylsilsesquioxane - II. Electrical, optical, and mechanical properties

AM Padovani et al.

JOURNAL OF THE ELECTROCHEMICAL SOCIETY (2002)

Article Electrochemistry

Chemically bonded porogens in methylsilsesquioxane - I. Structure and bonding

AM Padovani et al.

JOURNAL OF THE ELECTROCHEMICAL SOCIETY (2002)

Article Engineering, Electrical & Electronic

Comparative study of PECVD SiOCH low-k films obtained at different deposition conditions

D Shamiryan et al.

MICROELECTRONIC ENGINEERING (2002)

Article Engineering, Electrical & Electronic

Non-destructive characterisation of porous low-k dielectric films

MR Baklanov et al.

MICROELECTRONIC ENGINEERING (2002)

Article Materials Science, Multidisciplinary

Effect of material properties on integration damage in organosilicate glass films

ET Ryan et al.

JOURNAL OF MATERIALS RESEARCH (2001)

Article Physics, Applied

Ultralow-k dielectrics prepared by plasma-enhanced chemical vapor deposition

A Grill et al.

APPLIED PHYSICS LETTERS (2001)

Article Engineering, Electrical & Electronic

New solutions for intermetal dielectrics using trimethylsilane-based PECVD processes

MJ Loboda

MICROELECTRONIC ENGINEERING (2000)