4.6 Article

Deep and tapered silicon photonic crystals for achieving anti-reflection and enhanced absorption

Journal

OPTICS EXPRESS
Volume 18, Issue 7, Pages 6841-6852

Publisher

OPTICAL SOC AMER
DOI: 10.1364/OE.18.006841

Keywords

-

Categories

Funding

  1. National Science Council, Taiwan [NSC97-2221-E-011-077-MY3, NSC97-2917-I-011-103]
  2. Ministry of Education, Taiwan
  3. NSF

Ask authors/readers for more resources

Tapered silicon photonic crystals (PhCs) with smooth sidewalls are realized using a novel single-step deep reactive ion etching. The PhCs can significantly reduce the surface reflection over the wavelength range between the ultra-violet and near-infrared regions. From the measurements using a spectrophotometer and an angle-variable spectroscopic ellipsometer, the sub-wavelength periodic structure can provide a broad and angular-independent antireflective window in the visible region for the TE-polarized light. The PhCs with tapered rods can further reduce the reflection due to a gradually changed effective index. On the other hand, strong optical resonances for TM-mode can be found in this structure, which is mainly due to the existence of full photonic bandgaps inside the material. Such resonance can enhance the optical absorption inside the silicon PhCs due to its increased optical paths. With the help of both antireflective and absorption-enhanced characteristics in this structure, the PhCs can be used for various applications. (C) 2010 Optical Society of America

Authors

I am an author on this paper
Click your name to claim this paper and add it to your profile.

Reviews

Primary Rating

4.6
Not enough ratings

Secondary Ratings

Novelty
-
Significance
-
Scientific rigor
-
Rate this paper

Recommended

No Data Available
No Data Available