4.5 Article

Adaptive cooling of integrated circuits using digital microfluidics

Publisher

IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC
DOI: 10.1109/TVLSI.2007.915434

Keywords

adaptive cooling; chip cooling; digital microfluidics; electrowetting; hot-spot cooling; microfluidics

Ask authors/readers for more resources

Thermal management is critical for integrated circuit (IC) design. With each new IC technology generation, feature sizes decrease, while operating speeds and package densities increase. These factors contribute to elevated die temperatures detrimental to circuit performance and reliability. Furthermore, hot spots due to spatially nonuniform heat flux in ICs can cause physical stress that further reduces reliability. While a number of chip cooling techniques have been proposed in the literature, most are still unable to address the varying thermal profiles of an IC and their capability to remove a large amount of heat is undermined by their lack of reconfigurability of flows. We present an alternative cooling technique based on a recently invented digital microfluidic platform. This novel digital fluid handling platform uses a phenomenon known as electrowetting, and allows for a vast array of discrete droplets of liquid, ranging from microliters to nanoliters, and potentially picoliters, to be independently moved along a substrate. While this technology was originally developed for a biological and chemical lab-on-a-chip, we show how it can be used as a fully reconfigurable, adaptive cooling platform.

Authors

I am an author on this paper
Click your name to claim this paper and add it to your profile.

Reviews

Primary Rating

4.5
Not enough ratings

Secondary Ratings

Novelty
-
Significance
-
Scientific rigor
-
Rate this paper

Recommended

No Data Available
No Data Available